专利摘要:
本發明係可提升具有氧化膜與氮化膜積層構造之絕緣膜的膜厚均勻性等。本發明係執行下述步驟:藉由對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、及供給氧化氣體與還原氣體的步驟之循環,而在基板上形成氧化膜的步驟;對在處理容器內被加熱至第1溫度以上且第2溫度以下溫度的基板供給氮化氣體,藉此在氧化膜表面上形成種子層的步驟;以及對在處理容器內被加熱至第2溫度的基板,既定次數執行包括有供給第2原料氣體的步驟、及供給氮化氣體的步驟之循環,藉此在氧化膜表面所形成種子層上,形成氮化膜的步驟。
公开号:TW201318065A
申请号:TW101131130
申请日:2012-08-28
公开日:2013-05-01
发明作者:Kazuhiro Yuasa;Naonori Akae;Masato Terasaki
申请人:Hitachi Int Electric Inc;
IPC主号:H01L21-00
专利说明:
半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
本發明係關於包括有在基板上形成薄膜之步驟的半導體裝置之製造方法、及基板處理方法、暨頗適於該步驟使用的基板處理裝置及記錄媒體。
半導體裝置之製造步驟的一步驟,係執行在基板上形成由氧化膜與氮化膜呈交錯積層,構成ONO積層構造之絕緣膜的步驟。為使構成ONO積層構造的氧化膜及氮化膜能在同一處理室內連續形成,習知便使用CVD(Chemical Vapor Deposition,化學氣相沉積)法。例如藉由交錯執行:對收容有基板的處理室內,同時供給DCS(二氯矽烷、SiH2Cl2)氣體與二氧化氮(N2O)氣體,而形成矽氧化膜(SiO膜)的步驟;及藉由同時供給DCS氣體與氨(NH3)氣體而形成矽氮化膜(SiN膜)的步驟,便可在基板上形成既定膜厚的ONO積層構造絕緣膜。
然而,當使用以氣相反應為主的CVD法進行上述絕緣膜的成膜時,若在基板表面上施行微細加工等而增加表面積,則基板周邊部的氣體消耗相較於基板中心部之下呈較激烈,導致會有基板面內的絕緣膜膜厚均勻性降低、低於半導體裝置所要求容許值的情況。又,會有對在基板表面上形成微細溝等的絕緣膜梯度被覆性降低之情況。
針對此項課題,亦有考慮採用:降低處理室內的壓力俾抑制基板周邊部的氣相反應之手法;或增加基板排列的間距(即相鄰基板間的距離),俾彌補基板中央部的氣體欠缺之手法。然而,降低處理室內壓力的前者手法,會有絕緣膜的成膜速度下降、生產性降低的情形。又,增加基板排列間距的後者手法,會有基板處理每1次的處理片數減少、生產性明顯降低的情形。
本發明目的在於提供:提升具有氧化膜與氮化膜之積層構造的絕緣膜膜厚均勻性與梯度被覆性,俾可提升成膜時生產性的半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體。
根據本發明一態樣所提供的半導體裝置之製造方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟,以及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述氧化膜表面上形成種子層的步驟;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、以及供給上述氮化氣體的步驟之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的步驟。
根據本發明另一態樣所提供的基板處理方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、以及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述氧化膜表面上形成種子層的步驟;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、及供給上述氮化氣體的步驟之循環,藉此在上述氧化膜表面上所形成上述種子層上,形成氮化膜的步驟。
本發明再另一態樣所提供的基板處理裝置,係具備有:處理容器,用以收容基板;加熱器,係對上述處理容器內的基板施行加熱;原料氣體供給系統,係對上述處理容器內的基板,供給第1原料氣體與第2原料氣體;氧化氣體供給系統,係對上述處理容器內的基板供給氧化氣體;還原氣體供給系統,係對上述處理容器內的基板供給還原氣體;氮化氣體供給系統,係對上述處理容器內的基板供給氮化氣體;壓力調整部,用以調整上述處理容器內的壓力;以及控制部,係執行以下處理:對在未滿大氣壓之壓力下的上述處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給上述第1原料氣體的處理、及供給上述氧化氣體與上述還原氣體的處理之循環,藉此在上述基板上形成氧化膜的處理;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給上述氮化氣體,藉此在上述氧化膜表面上形成種子層的處理;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給上述第2原料氣體的處理、及供給上述氮化氣體的處理之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的處理;而對上述加熱器、上述原料氣體供給系統、上述氧化氣體供給系統、上述還原氣體供給系統、上述氮化氣體供給系統及上述壓力調整部進行控制。
根據本發明再另一態樣所提供的電腦可讀取之記錄媒體,係記錄有使電腦執行下述程序之程式之記錄媒體,該等程序包括有:對基板處理裝置中未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的程序、以及供給氧化氣體與還原氣體的程序之循環,藉此在上述基板上形成氧化膜的程序;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述氧化膜表面上形成種子層的程序;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的程序、及供給上述氮化氣體的程序之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的程序。
根據本發明可提供能提升具有氧化膜與氮化膜之積層構造絕緣膜的膜厚均勻性與梯度被覆性,且能提升成膜時生產性的半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體。<本發明第1實施形態>
(1)基板處理裝置之構成
圖1所示係本實施形態較佳適用基板處理裝置的直立式處理爐之概略構造圖,處理爐202部分的縱剖視圖。又,圖2所示係本實施形態較佳適用直立式處理爐的概略構造圖,處理爐202部分的圖1之A-A線剖視圖。另外,本發明不僅侷限本實施形態的基板處理裝置,亦可較佳適用具有單片式、熱壁(Hot Wall)式、冷壁(Cold Wall)式處理爐的基板處理裝置。
如圖1所示,處理爐202係具有作為加熱手段(加熱機構)的加熱器207。加熱器207係圓筒形狀,利用當作保持板用的加熱器機座(未圖示)支撐而呈垂直安設。另外,加熱器207係如後述,亦具有作為利用熱使氣體活性化之活性化機構的機能。
在加熱器207的內側,配設與加熱器207呈同心圓狀且構成反應容器(處理容器)的反應管203。反應管203係由例如石英(SiO2)或碳化矽(SiC)等耐熱性材料構成,形成上端封閉而下端呈開口的圓筒形狀。在反應管203的筒中空部形成處理室201,構成可將當作基板的晶圓200利用後述晶舟217,依水平姿勢在垂直方向呈多層對齊狀態收容。
在處理室201內,作為第1氣體導入部的第1噴嘴233a、作為第2氣體導入部的第2噴嘴233b、及作為第3氣體導入部的第3噴嘴233c,設計成貫通反應管203下部側壁的狀態。第1噴嘴233a連接於第1氣體供給管232a。又,第2噴嘴233b連接於第2氣體供給管232b。又,第3噴嘴233c連接於第3氣體供給管232c、第4氣體供給管232d及第5氣體供給管232e。依此,在反應管203中設置3支噴嘴233a、233b、233c、及5支氣體供給管232a、232b、232c、232d、232e,構成可對處理室201供給複數種(此處為5種)氣體。
另外,在反應管203下方設有支撐著反應管203的金屬製歧管,各噴嘴亦可設計成貫通該金屬製歧管側壁的狀態。此情況,在該金屬製歧管中亦可更進一步設計後述排氣管231。另外,此情況時亦是排氣管231可非設置於金屬製歧管中,而是設置於反應管203的下部。依此,亦可將處理爐202的爐口部設為金屬製,並在該金屬製爐口部安裝著諸如噴嘴等。
在第1氣體供給管232a中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器(MFC)241a、及屬於開關閥的閥243a。又,在第1氣體供給管232a較閥243a更靠下游側連接著第1惰性氣體供給管232f。在該第1惰性氣體供給管232f中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器241f、及屬於開關閥的閥243f。又,第1氣體供給管232a的前端部連接於上述第1噴嘴233a。第1噴嘴233a係設計成在反應管203內壁與晶圓200之間的圓弧狀空間中,從反應管203內壁的下部起沿上部朝晶圓200裝載方向上方立起狀態。即,第1噴嘴233a係在晶圓200排列的晶圓排列區域之側邊,呈水平包圍晶圓排列區域的區域中,沿晶圓排列區域設計。第1噴嘴233a係構成L字形長噴嘴,其水平部係設計成貫通反應管203下部側壁的狀態,而其垂直部係設計成至少從晶圓排列區域一端側朝向另一端側呈立起狀態。在第1噴嘴233a的側面設有供給氣體的氣體供給孔248a。氣體供給孔248a係朝向反應管203中心呈開口,俾可朝晶圓200供給氣體。該氣體供給孔248a係從反應管203下部朝上部設計複數個,分別具有相同的開口面積,更依相同的開口間距設置。
主要係由第1氣體供給管232a、質量流量控制器241a、及閥243a構成第1氣體供給系統。另外,亦可考慮將第1噴嘴233a含括於第1氣體供給系統中。又,主要由第1惰性氣體供給管232f、質量流量控制器241f、及閥243f構成第1惰性氣體供給系統。第1惰性氣體供給系統亦具有作為沖洗氣體供給系統的機能。
在第2氣體供給管232b中從上游方向起依序設計屬於流量控制器(流量控制部)的質量流量控制器(MFC)241b、及屬於開關閥的閥243b。又,在第2氣體供給管232b較閥243b更靠下游側連接著第2惰性氣體供給管232g。在該第2惰性氣體供給管232g中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器241g、及屬於開關閥的閥243g。又,第2氣體供給管232b的前端部連接於上述第2噴嘴233b。第2噴嘴233b係設計成在反應管203內壁與晶圓200之間的圓弧狀空間中,從反應管203內壁的下部起沿上部朝晶圓200裝載方向上方立起狀態。即,第2噴嘴233b係在晶圓200排列的晶圓排列區域之側邊,呈水平包圍晶圓排列區域的區域中,沿晶圓排列區域設計。第2噴嘴233b係構成L字形長噴嘴,其水平部係設計成貫通反應管203下部側壁的狀態,而其垂直部係設計成至少從晶圓排列區域一端側朝向另一端側呈立起狀態。在第2噴嘴233b的側面設有供給氣體的氣體供給孔248b。氣體供給孔248b係朝向反應管203中心呈開口,俾可朝晶圓200供給氣體。該氣體供給孔248b係從反應管203下部朝上部設計複數個,分別具有相同的開口面積,更依相同的開口間距設置。
主要係由第2氣體供給管232b、質量流量控制器241b、及閥243b構成第2氣體供給系統。另外,亦可考慮將第2噴嘴233b含括於第2氣體供給系統中。又,主要由第2惰性氣體供給管232g、質量流量控制器241g、及閥243g構成第2惰性氣體供給系統。第2惰性氣體供給系統亦具有作為沖洗氣體供給系統的機能。
在第3氣體供給管232c中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器(MFC)241c、及屬於開關閥的閥243c。又,在第3氣體供給管232c較閥243c更靠下游側連接著第3惰性氣體供給管232h。在該第3惰性氣體供給管232h中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器241h、及屬於開關閥的閥243h。又,第3氣體供給管232c的前端部連接於上述第3噴嘴233c。第3噴嘴233c係設置於屬於氣體分散空間的緩衝室237內。
緩衝室237係在反應管203內壁與晶圓200之間的圓弧狀空間,從反應管203內壁的下部起至上部的部分,沿晶圓200的裝載方向設計。即,緩衝室237係在晶圓200排列的晶圓排列區域之側邊,呈水平包圍晶圓排列區域的區域中,沿晶圓排列區域設計。在緩衝室237鄰接晶圓200的壁之端部設有供給氣體的氣體供給孔248d。氣體供給孔248d係朝反應管203的中心呈開口,俾可朝晶圓200供給氣體。該氣體供給孔248d係從反應管203的下部起朝上部設置複數個,分別具有相同的開口面積,更依相同的開口間距設置。
第3噴嘴233c係在緩衝室237設有氣體供給孔248d的端部之對向側的端部上,從反應管203內壁的下部起沿上部,設計成朝晶圓200裝載方向上方呈立起狀態。即,第3噴嘴233c係在晶圓200排列的晶圓排列區域之側邊,呈水平包圍晶圓排列區域的區域中,沿晶圓排列區域設計。第3噴嘴233c係構成L字形長噴嘴,其水平部係設計成貫通反應管203下部側壁的狀態,而其垂直部係設計成至少從晶圓排列區域一端側朝向另一端側呈立起狀態。在第3噴嘴233c的側面設有供給氣體的氣體供給孔248c。氣體供給孔248c係朝緩衝室237的中心呈開口。該氣體供給孔248c係與緩衝室237的氣體供給孔248d同樣的,從反應管203的下部起至上部設計複數個。該複數氣體供給孔248c的各自開口面積係當緩衝室237內與處理室201內的差壓較小時,便從上游側(下部)起至下游側(上部)分別設為同一開口面積且同一開口間距,而當差壓較大時,則可從上游側起朝下游側,分別設為開口面積變大、或開口間距縮小。
本實施形態中,第3噴嘴233c的氣體供給孔248c之各個開口面積與開口間距,係從上游側起至下游側藉由依如上述進行調節,首先,雖從氣體供給孔248c分別會有流速差,但會噴出流量大致同量的氣體。然後,將從該氣體供給孔248c分別噴出的氣體暫時導入緩衝室237內,並在緩衝室237內施行氣體流速差的均勻化。即,從第3噴嘴233c的氣體供給孔248c分別朝緩衝室237內噴出的氣體,在緩衝室237內經緩和各氣體的粒子速度之後,再從緩衝室237的氣體供給孔248d噴出處理室201內。藉此,當從第3噴嘴233c的氣體供給孔248c分別朝緩衝室237內噴出的氣體,從緩衝室237的氣體供給孔248d分別朝處理室201內噴出時,便成為具有均勻流量與流速的氣體。
主要係由第3氣體供給管232c、質量流量控制器241c、及閥243c構成第3氣體供給系統。另外,亦可考慮將第3噴嘴233c及緩衝室237含括於第3氣體供給系統中。又,主要由第3惰性氣體供給管232h、質量流量控制器241h、及閥243h構成第3惰性氣體供給系統。第3惰性氣體供給系統亦具有作為沖洗氣體供給系統的機能。
在第4氣體供給管232d中從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器(MFC)241d、及屬於開關閥的閥243d。又,在第4氣體供給管232d較閥243d更靠下游側連接於第4惰性氣體供給管232i。在該第4惰性氣體供給管232i中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器241i、及屬於開關閥的閥243i。又,第4氣體供給管232d的前端部係連接於第3氣體供給管232c較閥243c更靠下游側。
主要係由第4氣體供給管232d、質量流量控制器241d、及閥243d構成第4氣體供給系統。另外,亦可考慮將第3氣體供給管232c較與第4氣體供給管232d的接續部更靠下游側、第3噴嘴233c及緩衝室237,含括於第4氣體供給系統中。又,主要係由第4惰性氣體供給管232i、質量流量控制器241i、及閥243i構成第4惰性氣體供給系統。第4惰性氣體供給系統亦具有作為沖洗氣體供給系統的機能。
在第5氣體供給管232e中,從上游方向起依序設置屬於流量控制器(流量控制部)的質量流量控制器(MFC)241e、及屬於開關閥的閥243e。又,在第5氣體供給管232e較閥243e更靠下游側,連接著第5惰性氣體供給管232j。在該第5惰性氣體供給管232j中,從上游方向起續設置屬於流量控制器(流量控制部)的質量流量控制器241j、及屬於開關閥的閥243j。又,第5氣體供給管232e的前端部係連接於第3氣體供給管232c較閥243c更靠下游側。
主要係由第5氣體供給管232e、質量流量控制器241e、及閥243e構成第5氣體供給系統。另外,亦可考慮將第3氣體供給管232c較與第5氣體供給管232e的接續部更靠下游側、第3噴嘴233c及緩衝室237,含括於第5氣體供給系統中。又,主要係由第5惰性氣體供給管232j、質量流量控制器241j、及閥243j構成第5惰性氣體供給系統。第5惰性氣體供給系統亦具有作為沖洗氣體供給系統的機能。
依此,本實施形態的氣體供給方法係經由在反應管203內壁、與所裝載複數片晶圓200的端部所定義圓弧狀縱長空間內,配置的噴嘴233a、233b、233c、及緩衝室237,搬送氣體,再從噴嘴233a、233b、233c、及在緩衝室237中分別呈開口的氣體供給孔248a、248b、248c、248d,於晶圓200附近開始朝反應管203內噴出氣體,在反應管203內的氣體主流係朝晶圓200表面的平行方向(即水平方向)。藉由此種構造,可對各晶圓200均勻地供給氣體,具有可使各晶圓200上所形成薄膜的膜厚呈均勻效果。另外,在晶圓200表面上流動的氣體(即反應後的殘留氣體),朝向排氣口(即後述排氣管231)方向流動,該殘留氣體的流動方向係依照排氣口的位置而適當特定,並非僅限定於垂直方向。
從第1氣體供給管232a,將含有既定元素的第1原料氣體,即含有既定元素之矽(Si)的第1原料氣體(第1含矽氣體),例如六氯二矽烷(Si2Cl6、簡稱:HCDS)氣體,經由質量流量控制器241a、閥243a、及第1噴嘴233a供給至處理室201內。即第1氣體供給系統係構成第1原料氣體供給系統(第1含矽氣體供給系統)。另外,當使用諸如HCDS在常溫常壓下呈液體狀態的液體原料時,便將液體原料利用諸如氣化器、起泡器等氣化系統進行氣化,再依第1原料氣體形式進行供給。此時,亦可同時從第1惰性氣體供給管232f,將惰性氣體經由質量流量控制器241f、及閥243f供給至第1氣體供給管232a內。
從第2氣體供給管232b,將含有既定元素的第2原料氣體,即含有既定元素之矽(Si)的第2原料氣體(第2含矽氣體),例如二氯矽烷(SiH2Cl2、簡稱:DCS)氣體,經由質量流量控制器241b、閥243b、及第2噴嘴233b供給至處理室201內。即第2氣體供給系統係構成第2原料氣體供給系統(第2含矽氣體供給系統)。另外,當使用諸如DCS在常溫常壓下呈液體狀態的液體原料時,便將液體原料利用諸如氣化器、起泡器等氣化系統進行氣化,再依第2原料氣體形式進行供給。此時,亦可同時從第2惰性氣體供給管232g,將惰性氣體經由質量流量控制器241g、及閥243g供給至第2氣體供給管232b內。
主要由第1氣體供給系統(第1原料氣體供給系統)及第2氣體供給系統(第2原料氣體供給系統),構成朝處理室201內供給第1原料氣體與第2原料氣體的原料氣體供給系統。
從第3氣體供給管232c,將含氮的氣體(含氮氣體)、即氮化氣體,例如氨(NH3)氣體,經由質量流量控制器241c、閥243c、及第3噴嘴233c、緩衝室237供給至處理室201內。即第3氣體供給系統係構成含氮氣體供給系統(氮化氣體供給系統)。此時,亦可同時從第3惰性氣體供給管232h,將惰性氣體經由質量流量控制器241h、及閥243h供給至第3氣體供給管232c內。
從第4氣體供給管232d,將含氧的氣體(含氧氣體)、即氧化氣體,例如氧(O2)氣體,經由質量流量控制器241d、閥243d、第3氣體供給管232c、第3噴嘴233c、及緩衝室237供給至處理室201內。即第4氣體供給系統係構成含氧氣體供給系統(氧化氣體供給系統)。此時,亦可同時從第4惰性氣體供給管232i,將惰性氣體經由質量流量控制器241i、及閥243i供給至第4氣體供給管232d內。
從第5氣體供給管232e,將含氫的氣體(含氫氣體)、即還原氣體例如氫(H2)氣體,經由質量流量控制器241e、閥243e、第3氣體供給管232c、第3噴嘴233c、及緩衝室237供給至處理室201內。即第5氣體供給系統係構成含氫氣體供給系統(還原氣體供給系統)。此時,亦可同時從第5惰性氣體供給管232j,將惰性氣體經由質量流量控制器241j、及閥243j供給至第5氣體供給管232e內。
另外,本實施形態中,構成HCDS氣體與DCS氣體從各自噴嘴供給至處理室201內,但該等亦可從相同噴嘴供給。又,本實施形態中,構成NH3氣體、O2氣體及H2氣體係從相同噴嘴供給至處理室201內(緩衝室237內),但亦可分別從各自噴嘴供給至處理室201內,亦可僅H2氣體從其他噴嘴供給至處理室201內。但,由複數種氣體共用噴嘴的方式具有能減少噴嘴的支數、可降低裝置成本、亦具有保養容易等優點。又,亦可更呈供給HCDS氣體與DCS氣體的噴嘴、與供給H2氣體的噴嘴係共用。即亦可HCDS氣體與H2氣體係由相同噴嘴供給,亦可DCS氣體與H2氣體係由相同噴嘴供給,亦可HCDS氣體、DCS氣體及H2氣體係由相同噴嘴供給。另外,在後述成膜溫度帶,HCDS氣體與DCS氣體係不會與H2氣體產生反應,但認為會分別與NH3氣體、O2氣體產生反應,因而供給HCDS氣體、DCS氣體的噴嘴、與供給NH3氣體、O2氣體的噴嘴最好分開。
在緩衝室237內,如圖2所示,具有細長構造屬於第1電極的第1棒狀電極269及屬於第2電極的第2棒狀電極270,從反應管203下部起至上部沿晶圓200的積層方向配設。第1棒狀電極269與第2棒狀電極270分別與第3噴嘴233c呈平行設置。第1棒狀電極269與第2棒狀電極270分別從上部起至下部,由屬於保護各電極之保護管的電極保護管275所覆蓋而受保護。該第1棒狀電極269或第2棒狀電極270其中任一者經由整合器272連接於高頻電源273,另一者則連接於屬於基準電位的接地。藉由經由整合器272從高頻電源273對第1棒狀電極269與第2棒狀電極270間施加高頻電力,便在第1棒狀電極269與第2棒狀電極270間的電漿生成區域224中生成電漿。主要係由第1棒狀電極269、第2棒狀電極270、及電極保護管275構成當作電漿產生器(電漿產生部)用的電漿源。另外,亦可考慮將整合器272、高頻電源273含括於電漿源中。另外,電漿源係如後述,具有當作利用電漿使氣體活性化的活性化機構之機能。
電極保護管275係構成能將第1棒狀電極269與第2棒狀電極270分別依隔離於緩衝室237內環境的狀態,插入緩衝室237內之構造。此處,若電極保護管275內部的氧濃度與外氣(大氣)的氧濃度為相同程度,則分別插入於電極保護管275內的第1棒狀電極269與第2棒狀電極270便會因由加熱器207產生的熱而氧化。所以,藉由將電極保護管275的內部填充諸如氮氣體等惰性氣體,或者將電極保護管275的內部使用惰性氣體沖洗機構利用諸如氮氣等惰性氣體施行沖洗,便可使電極保護管275內部的氧濃度降低,俾構成能防止第1棒狀電極269或第2棒狀電極270的氧化。
在反應管203中設有將處理室201內的環境施行排氣用的排氣管231。排氣管231連接於檢測處理室201內之壓力作為壓力檢測器(壓力檢測部)用的壓力感測器245、以及經由作為壓力調整器(壓力調整部)用的APC(Auto Pressure Controller,壓力自動控制)閥244,連接於作為真空排氣裝置用的真空泵246。另外,APC閥244係構成藉由依使真空泵246產生動作的狀態進行閥的開閉,便可進行處理室201內的真空排氣及停止真空排氣,更藉由依使真空泵246產生動作的狀態進行閥開度的調節,便可調整處理室201內之壓力的閥。主要係由排氣管231、APC閥244、及壓力感測器245構成排氣系統。另外,亦可考慮將真空泵246含括於排氣系統中。排氣系統係構成在使真空泵246產生動作的情況下,根據由壓力感測器245所檢測到的壓力資訊,調整APC閥244的閥開度,便可依使處理室201內的壓力成為既定壓力(真空度)的方式施行真空排氣。
在反應管203的下方設置有能將反應管203下端開口予以氣密式封閉作為爐口蓋體用的密封蓋219。密封蓋219係構成從垂直方向下側抵接於反應管203下端的狀態。密封蓋219係由例如不銹鋼等金屬構成,形成圓盤狀。在密封蓋219的上面設有抵接於反應管203的下端作為密封構件用的O形環220。在密封蓋219靠處理室201的對向側,設有使後述作為基板保持具用的晶舟217進行旋轉之旋轉機構267。旋轉機構267的旋轉軸255係貫通密封蓋219並連接於晶舟217。旋轉機構267係構成藉由使晶舟217旋轉而使晶圓200進行旋轉。密封蓋219係構成利用在反應管203的外部呈垂直設置作為升降機構用的晶舟升降機115而進行垂直方向升降。晶舟升降機115係構成藉由使密封蓋219升降,便可將晶舟217搬入及搬出於處理室201內外。即,晶舟升降機115係構成作為將晶舟217(即晶圓200)搬送於處理室201內外的搬送裝置(搬送機構)用。
作為基板支撐具用的晶舟217係由如石英、碳化矽等耐熱性材料構成,將複數片晶圓200依水平姿勢且相互中心整合狀態對齊呈多層支撐。另外,在晶舟217的下部設有由例如石英、碳化矽等耐熱性材料構成的斷熱構件218,構成來自加熱器207的熱不易傳遞於密封蓋219側的構造。另外,斷熱構件218亦可由:由諸如石英、碳化矽等耐熱性材料構成的複數片斷熱板、與將該等斷熱板依水平姿勢呈多層支撐的斷熱板托架構成。
在反應管203內設置作為溫度檢測器用的溫度感測器263,構成根據由溫度感測器263所檢測到的溫度資訊,調整對加熱器207的通電程度,俾使處理室201內的溫度成為所需的溫度分佈。溫度感測器263係與第1噴嘴233a、第2噴嘴233b及第3噴嘴233c同樣的構成L字形,沿反應管203的內壁設計。
如圖3所示,屬於控制部(控制手段)的控制器121,係由具備有CPU(Central Processing Unit,中央處理器)121a、RAM(Random Access Memory,隨機存取記憶體)121b、記憶裝置121c、及I/O埠121d的電腦構成。RAM121b、記憶裝置121c、I/O埠121d係構成經由內部匯流排121e,能與CPU121a進行資料交換。控制器121連接於由例如觸控面板等構成的輸出入裝置122。
記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive,硬碟機)等構成。可讀出在記憶裝置121c內所儲存諸如:控制基板處理裝置動作的控制程式、記載後述基板處理程序與條件等的製程配方(process recipe)等。另外,製程配方係使控制器121執行後述基板處理步驟的各項程序,並依能獲得既定結果的方式組合,具有作為程式的機能。以下,亦將該製程配方、控制程式等統稱為「程式」。另外,本說明書中使用「程式」一詞時,係有僅單含製程配方的情況、或僅單含控制程式單體的情況、或二者均含有的情況。又,RAM121b係構成作為暫時性保持著由CPU121a所讀出之程式與資料等的記憶體區域(工作區塊)(work area)。
I/O埠121d係連接於上述質量流量控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j、閥243a、243b、243c、243d、243e、243f、243g、243h、243i、243j、壓力感測器245、APC閥244、真空泵246、加熱器207、溫度感測器263、旋轉機構267、晶舟升降機115、高頻電源273、整合器272等。
CPU121a係構成從記憶裝置121c中讀出控制程式並執行,且配合來自輸出入裝置122的操作指令輸入等,從記憶裝置121c中讀出製程配方。然後,CPU121a依循所讀出製程配方內容的方式,針對例如:由質量流量控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j所進行的各種氣體之流量調整動作;閥243a、243b、243c、243d、243e、243f、243g、243h、243i、243j的開閉動作;根據APC閥244的開閉動作及壓力感測器245,由APC閥244進行的壓力調整動作;根據溫度感測器263進行的加熱器207之溫度調整動作;真空泵246的啟動及停止;由旋轉機構267進行的晶舟217之旋轉及旋轉速度調節動作;由晶舟升降機115進行的晶舟217升降動作;高頻電源273的電力供給;以及由整合器272進行的阻抗調整動作等。
另外,控制器121並不僅侷限於構成專用電腦的情況,亦可構成通用電腦。例如準備儲存有上述程式的外部記憶裝置(例如:磁帶、軟碟、硬碟等磁碟;CD、DVD等光碟;MO等光磁碟;USB記憶體、記憶卡等半導體記憶體)123,藉由使用該外部記憶裝置123將程式安裝於通用電腦中等,便可構成本實施形態的控制器121。另外,為將程式提供給電腦的手段,並不僅侷限於經由外部記憶裝置123進行供給的情況。亦可例如使用網際網路、專用線路等通訊手段,在未經由外部記憶裝置123的情況下提供程式。另外,記憶裝置121c、外部記憶裝置123係構成作為電腦可讀取的記錄媒體。以下,亦亦統籌將該等簡稱為「記錄媒體」。另外,本說明書中使用「記錄媒體」一詞時,包括有僅單含記憶裝置121c的情況、僅單含外部記憶裝置123的情況、或二者均含有的情況。
(2)基板處理步驟
其次,針對使用上述基板處理裝置的處理爐,就半導體裝置(裝置)之製造步驟的一步驟,形成在基板上依序積層第1氧化膜、氮化膜、第2氧化膜,構成ONO積層構造絕緣膜的例子,使用圖4、圖11進行說明。圖4所示係本實施形態的成膜流程圖。圖11所示係本實施形態的氣體供給時序圖。另外,以下的說明中,構成基板處理裝置的各構件動作係利用控制器121進行控制。
本實施形態係實施下述步驟:
藉由對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、及供給氧化氣體(含氧氣體)與還原氣體(含氫氣體)的步驟之循環,而在基板上形成第1氧化膜的步驟;對在處理容器內被加熱至第2溫度的基板,既定次數執行包括有供給第2原料氣體的步驟、及供給氮化氣體(含氮氣體)的步驟之循環,藉此在氧化膜上形成氮化膜的步驟;以及對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、及供給氧化氣體(含氧氣體)與還原氣體(含氫氣體)的步驟之循環,藉此在氮化膜上形成第2氧化膜的步驟。
即,本實施形態係實施下述步驟:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,交錯重複施行供給第1原料氣體的步驟、及供給含氧氣體與含氫氣體的步驟,藉此在基板上形成第1氧化膜的步驟;對在未滿大氣壓之壓力下的處理容器內被加熱至第2溫度的基板,交錯重複施行供給第2原料氣體的步驟、及供給含氮氣體的步驟,藉此在第1氧化膜上形成氮化膜的步驟;以及對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,交錯重複施行供給第1原料氣體的步驟、及供給含氧氣體與含氫氣體的步驟,藉此在氮化膜上形成第2氧化膜的步驟。
此處,形成第1氧化膜的步驟、形成氮化膜的步驟、及形成第2氧化膜的步驟,係在處理容器內連續地實施。
另外,本實施形態的形成第1氧化膜的步驟、及形成第2氧化膜的步驟,分別係以供給第1原料氣體的步驟、及供給含氧氣體與含氫氣體的步驟設為1循環,並複數次重複施行該循環。此處,形成第1氧化膜的步驟及形成第2氧化膜的步驟,係將含氧氣體與含氫氣體利用熱進行活性化之後才供給。
再者,本實施形態的形成氮化膜的步驟係將供給第2原料氣體的步驟、與供給含氮氣體的步驟設為1循環,並複數次重複施行該循環。此處,形成氮化膜的步驟係將含氮氣體利用熱或電漿進行活性化之後才供給。
以下,針對本實施形態的成膜系列進行具體說明。此處,第1原料氣體係使用HCDS氣體、含氧氣體係使用O2氣體、含氫氣體係使用H2氣體、沖洗氣體係使用N2氣體,在作為基板的晶圓200上形成作為第1氧化膜的矽氧化膜(SiO2膜、以下亦稱「第1矽氧化膜」、或「第1SiO膜」)。然後,第2原料氣體係使用熱分解溫度較高於HCDS氣體、且反應性較低的DCS氣體,含氮氣體係使用NH3氣體,沖洗氣體係使用N2氣體,而在作為底層膜的第1矽氧化膜上形成作為氮化膜的矽氮化膜(Si3N4膜、以下亦稱「SiN膜」)。然後,第1原料氣體係使用HCDS氣體、含氧氣體係使用O2氣體、含氫氣體係使用H2氣體、沖洗氣體係使用N2氣體,在作為底層膜的矽氮化膜上形成作為第2氧化膜的矽氧化膜(SiO2膜、以下亦稱「第2矽氧化膜」、或「第2SiO膜」)。藉此,形成在晶圓200上依序積層著第1矽氧化膜、矽氮化膜、第2矽氧化膜的ONO積層構造絕緣膜。另外,依如後述,第1矽氧化膜形成步驟、矽氮化膜形成步驟、第2矽氧化膜形成步驟係在同一處理容器內(原地(in-situ))連續實施。
另外,本說明書中使用「晶圓」一詞時,係包括有指「晶圓本身」的情況、以及「晶圓與在其表面上所形成既定層或膜等的積層體(集合體)」的情況(即包括表面所形成既定層或膜等在內稱為「晶圓」的情況)。又,本說明書中使用「晶圓表面」一詞時,係包括有:「晶圓本身的表面(露出面)」之情況、以及「在晶圓上所形成既定層或膜等的表面(即積層體的晶圓最表面)之情況。
所以,本說明書中記載為「對晶圓供給既定氣體」時,便包括有:「直接對晶圓本身的表面(露出面)供給既定氣體」的情況、以及「對晶圓上所形成層或膜等(即積層體的晶圓最表面)供給既定氣體」的情況。又,本說明書中記載「在晶圓上形成既定層(或膜)」的情況,係包括有:「直接在晶圓本身的表面(露出面)上形成既定層(或膜)」的情況、及「在晶圓上所形成層或膜等之上(即積層體的晶圓最表面之上),形成既定層(或膜)」的情況。
另外,本說明書中使用「基板」一詞時,係與使用「晶圓」一詞的情況同樣,此情況在上述說明中,只要將「晶圓」更換為「基板」便可。
(晶圓載入及晶舟裝載)
若複數片晶圓200裝填(晶圓載入)於晶舟217中,便如圖1所示,支撐著複數片晶圓200的晶舟217便利用晶舟升降機115被往上拉,並被搬入(晶舟裝載)於處理室201內。在此狀態下,密封蓋219經由O形環220呈密封著反應管203下端的狀態。
(壓力調整及溫度調整)
為使處理室201內成為所需壓力(真空度),便利用真空泵246進行真空排氣。此時,處理室201內的壓力係利用壓力感測器245測定,根據該所測定的壓力資訊,對APC閥244進行回饋控制(壓力調整)。另外,真空泵246係在至少直到對晶圓200進行處理完成之前的期間內均維持經常動作狀態。又,為使處理室201內成為所需溫度便利用加熱器207進行加熱。此時,為使處理室201內成為所需溫度分佈,便根據溫度感測器263所檢測到的溫度資訊,對加熱器207的通電程度進行回饋控制(溫度調整)。另外,由加熱器207進行的處理室201內之加熱係至少直到對晶圓200施行處理完成之前的期間內均持續進行。接著,利用旋轉機構267使晶舟217與晶圓200開始旋轉。另外,由旋轉機構267進行的晶舟217與晶圓200之旋轉,係至少直到對晶圓200進行處理完成之前的期間內均持續進行。
(第1矽氧化膜形成步驟)
然後,將以下的步驟1a~4a設為1循環,藉由複數次重複施行該循環,便在晶圓200上形成既定膜厚的第1矽氧化膜。
[步驟1a]
開啟第1氣體供給管232a的閥243a,在第1氣體供給管232a中便流通HCDS氣體。HCDS氣體係從第1氣體供給管232a流入,並利用質量流量控制器241a進行流量調整。經流量調整的HCDS氣體係從第1噴嘴233a的氣體供給孔248a供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣(HCDS氣體供給)。
此時,亦可開啟第1惰性氣體供給管232f的閥243f,而從第1惰性氣體供給管232f供給作為惰性氣體的N2氣體。N2氣體係利用質量流量控制器241f進行流量調整,再供給至第1氣體供給管232a內。經流量調整過的N2氣體會在第1氣體供給管232a內,與經流量調整過的HCDS氣體進行混合,再從第1噴嘴233a的氣體供給孔248a供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣。另外,此時為防止HCDS氣體侵入於緩衝室237內、以及第2噴嘴233b、第3噴嘴233c內,便開啟閥243g、243h、243i、243j,使N2氣體流入於第2惰性氣體供給管232g、第3惰性氣體供給管232h、第4惰性氣體供給管232i、及第5惰性氣體供給管232j內。N2氣體係經由第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d、第5氣體供給管232e、第2噴嘴233b、第3噴嘴233c及緩衝室237,供給至處理室201內,再從排氣管231被排氣。
此時,適當調整APC閥244,將處理室201內的壓力維持於未滿大氣壓,例如10~1000Pa範圍內的壓力。由質量流量控制器241a進行控制的HCDS氣體之供給流量,係設為例如10~1000sccm(0.01~1slm)範圍內的流量。由質量流量控制器241f、241g、241h、241i、241j進行控制的N2氣體之供給流量,分別設為例如100~2000sccm(0.1~2slm)範圍內的流量。HCDS氣體對晶圓200的供給時間、即氣體供給時間(照射時間),係設為例如1~120秒範圍內的時間。加熱器207的溫度係設定成在上述壓力帶中會於處理室201內產生CVD反應的溫度。即,依晶圓200的溫度成為例如350~800℃、較佳係450~800℃、更佳係550~750℃範圍內的溫度方式,設定加熱器207的溫度。另外,若晶圓200的溫度未滿350℃,則在晶圓200上的HCDS便不易分解、吸附。又,藉由將晶圓200的溫度設為450℃以上,便可使後述步驟3a的氧化力提升效果趨於明顯。又,藉由將晶圓200的溫度設為550℃以上,便可使HCDS的分解充分進行。又,若晶圓200的溫度為750℃、特別係超過800℃,則CVD反應會增強,導致膜厚均勻性惡化趨於明顯。所以,晶圓200的溫度較佳係設為350~800℃、更佳係450~800℃、特佳係550~750℃。
藉由在上述條件、即會產生CVD反應的條件下,將HCDS氣體供給至處理室201內,便在晶圓200(表面的底層膜)上,形成例如未滿1原子層至數原子層左右之厚度的含矽層。含矽層亦可為HCDS氣體的吸附層、亦可為矽層(Si層),亦可含有二者。但,含矽層較佳係含有矽(Si)與氯(Cl)的層。
此處所謂「矽層」係除由矽(Si)構成的連續層之外,尚亦涵蓋不連續層、及該等重疊的矽薄膜之總稱。另外,亦有將由Si構成的連續層稱矽薄膜的情況。另外,構成矽層的Si係涵蓋與Cl間之鍵結未被完全切斷者。
再者,HCDS氣體的吸附層係除HCDS氣體的氣體分子之連續式化學吸附層之外,尚亦涵蓋不連續式化學吸附層。即,HCDS氣體的吸附層係包括由HCDS分子構成的1分子層或未滿1分子層厚度的化學吸附層。另外,構成HCDS氣體之吸附層的HCDS(Si2Cl6)分子,亦涵蓋Si與Cl間之鍵結被部分切斷者(SixCly分子)。即HCDS的吸附層係包括有Si2Cl6分子及/或SixCly分子的連續式化學吸附層與不連續式化學吸附層。另外,所謂「未滿1原子層厚度的層」係指不連續形成的原子層,所謂「1原子層厚度的層」係指連續式形成的原子層。又,所謂「未滿1分子層厚度的層」係指不連續形成的分子層,所謂「1分子層厚度的層」係指連續式形成的分子層。
藉由在HCDS氣體會自分解(熱分解)的條件下、即會產生HCDS熱分解反應的條件下,在晶圓200上沉積Si便形成矽層。在HCDS氣體不會自分解(熱分解)的條件下、即不會產生HCDS熱分解反應的條件下,藉由使HCDS氣體吸附於晶圓200上,便形成HCDS氣體的吸附層。另外,相較於在晶圓200上形成HCDS氣體吸附層的情況下,在晶圓200上形成矽層的情況比較能提高成膜速率,故屬較佳。例如藉由在晶圓200上形成數原子層厚度的矽層,而提高後述步驟3a中的氧化力,便可提升循環速率,便可提高成膜速率。
若在晶圓200上所形成含矽層的厚度超越數原子層,則後述步驟3a中的氧化(改質)作用便無法到達含矽層全體。又,晶圓200上可形成的含矽層厚度最小值係未滿1原子層。所以,含矽層的厚度較佳係設定為從未滿1原子層至數原子層左右。另外,藉由將含矽層的厚度設為1原子層以下、即1原子層或未滿1原子層,便可相對性提高後述步驟3a中的氧化反應(改質反應)作用,能縮短步驟3a中的氧化反應(改質反應)所需要時間。亦可縮短步驟1a中的含矽層形成所需要時間。結果,可縮短每1循環的處理時間,俾亦可縮短總計的處理時間。即亦可提高成膜速率。又,藉由將含矽層的厚度設為1原子層以下,亦可提高膜厚均勻性的控制性。
第1原料氣體(第1含矽氣體)係除六氯二矽烷(Si2Cl6、簡稱:HCDS)氣體之外,不僅可使用例如:四氯矽烷即四氯化矽(SiCl4、簡稱:STC)氣體、三氯矽烷(SiHCl3、簡稱:TCS)氣體、二氯矽烷(SiH2Cl2、簡稱:DCS)氣體、單氯矽烷(SiH3Cl、簡稱:MCS)氣體、單矽烷(SiH4)氣體等無機原料,尚可使用例如:胺基矽烷系的四(二甲基胺基)矽烷(Si[N(CH3)2]4、簡稱:4DMAS)氣體、三(二甲基胺基)矽烷(Si[N(CH3)2]3H、簡稱:3DMAS)氣體、雙(二乙基胺基)矽烷(Si[N(C2H5)2]2H2、簡稱:2DEAS)氣體、雙(第三丁基胺基)矽烷(SiH2[NH(C4H9)]2、簡稱:BTBAS)氣體等有機原料。惰性氣體係除N2氣體之外,尚可使用例如:Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。
[步驟2a]
在晶圓200上形成含矽層之後,關閉第1氣體供給管232a的閥243a,停止HCDS氣體的供給。此時,排氣管231的APC閥244維持開啟狀態,利用真空泵246對處理室201內進行真空排氣,而將處理室201內所殘留的未反應或經參予含矽層形成後的HCDS氣體從處理室201內排除。又,在閥243f、243g、243h、243i、243j維持開啟狀態下,維持惰性氣體的N2氣體對處理室201內之供給。N2氣體係具有沖洗氣體的作用,藉此可更加提高將處理室201內所殘留的未反應或經參予含矽層形成後的HCDS氣體從處理室201內排除之效果(殘留氣體除去)。
另外,此時亦可將處理室201內所殘留的氣體未完全排除,亦可未將處理室201內完全沖洗。在處理室201內所殘留的氣體若屬於微量,則在後續施行的步驟3a中不會造成不良影響。此時,對處理室201內所供給的N2氣體流量亦不需要設為大流量,例如藉由供給與反應管203(處理室201)的容積為相同程度的量,便可施行在步驟3a中不會造成不良影響程度的沖洗。依此,藉由處理室201內未完全沖洗,便可縮短沖洗時間,俾可提升產能。又,亦可將N2氣體的消耗抑制於必要最小極限。
此時加熱器207的溫度係設定為晶圓200溫度能成為與HCDS氣體供給時相同的例如350~800℃、較佳係450~800℃、更佳係550~750℃範圍內的溫度。從各惰性氣體供給系統所供給作為沖洗氣體的N2氣體之供給流量,分別設為例如100~2000sccm(0.1~2slm)範圍內的流量。沖洗氣體係除N2氣體之外,尚可使用諸如Ar、He、Ne、Xe等稀有氣體。
[步驟3a]
經去除處理室201內的殘留氣體之後,開啟第4氣體供給管232d的閥243d,朝第4氣體供給管232d中流入O2氣體。O2氣體係從第4氣體供給管232d流入,並利用質量流量控制器241d進行流量調整。經流量調整過的O2氣體經由第3氣體供給管232c,再從第3噴嘴233c的氣體供給孔248c供給至經加熱且呈減壓狀態的緩衝室237內。此時,同時亦開啟第5氣體供給管232e的閥243e,朝第5氣體供給管232e中流入H2氣體。H2氣體係從第5氣體供給管232e流入,並利用質量流量控制器241e進行流量調整。經流量調整過的H2氣體經由第3氣體供給管232c,再從第3噴嘴233c的氣體供給孔248c供給至經加熱且呈減壓狀態的緩衝室237內。另外,H2氣體係在經由第3氣體供給管232c之際,在第3氣體供給管232c內會與O2氣體相混合。即形成從第3噴嘴233c供給O2氣體與H2氣體的混合氣體。對緩衝室237內所供給O2氣體與H2氣體的混合氣體,係從緩衝室237的氣體供給孔248d,供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣(O2氣體+H2氣體供給)。
此時,亦可開啟第4惰性氣體供給管232i的閥243i,並從第4惰性氣體供給管232i供給作為惰性氣體的N2氣體。N2氣體係利用質量流量控制器241i進行流量調整,再供給至第4氣體供給管232d內。又,亦可開啟第5惰性氣體供給管232j的閥243j,從第5惰性氣體供給管232j供給作為惰性氣體的N2氣體。N2氣體係利用質量流量控制器241j進行流量調整,再供給至第5氣體供給管232e內。此情況,成為從第3噴嘴233c供給O2氣體與H2氣體及N2氣體的混合氣體。另外,惰性氣體係除N2氣體之外,尚可使用諸如Ar、He、Ne、Xe等稀有氣體。另外,此時為防止O2氣體與H2氣體侵入於第1噴嘴233a內、第2噴嘴233b內、第3氣體供給管232c的上游側,便開啟閥243f、243g、243h,使N2氣體流入於第1惰性氣體供給管232f、第2惰性氣體供給管232g、第3惰性氣體供給管232h內。N2氣體係經由第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第1噴嘴233a、第2噴嘴233b、第3噴嘴233c、緩衝室237,供給至處理室201內,再從排氣管231被排氣。
此時,適當調整APC閥244,將處理室201內的壓力維持於未滿大氣壓,例如1~1000Pa範圍內的壓力。利用質量流量控制器241d進行控制的O2氣體供給流量,係設為例如1000~10000sccm(1~10slm)範圍內的流量。利用質量流量控制器241e進行控制的H2氣體供給流量係設定為例如1000~10000sccm(1~10slm)範圍內的流量。利用質量流量控制器241i、241j、241f、241g、241h控制的N2氣體供給流量,分別設為例如100~2000sccm(0.1~2slm)範圍內的流量。另外,O2氣體與H2氣體對晶圓200進行供給的時間、即氣體供給時間(照射時間),係設為例如1~120秒範圍內的時間。加熱器207的溫度係設定為晶圓200的溫度成與在步驟1a的HCDS氣體供給時為同樣溫度帶,且後述氧化力提升效果較為明顯的溫度帶、即例如450~800℃、較佳係550~750℃範圍內的溫度。另外,若在該範圍內的溫度,確認由在減壓環境下於O2氣體中添加H2氣體所造成的氧化力提升效果(容後述)會趨於明顯。又,亦確認到若晶圓200的溫度偏低,便無法獲得氧化力提升效果。若考慮產能,依此較佳係依在步驟1a~3a中將處理室201內的溫度保持於同樣溫度帶之方式,設定加熱器207的溫度。又,更佳係在步驟1a~步驟4a(容後述)中,依將處理室201內的溫度保持於同樣溫度帶的方式,設定加熱器207的溫度。此情況,步驟1a~步驟4a(容後述)中,依處理室201內的溫度成為例如450~800℃、較佳係550~750℃範圍內的一定溫度方式,設定加熱器207的溫度。
藉由在上述條件下將O2氣體與H2氣體供給至處理室201內,O2氣體與H2氣體便在經加熱且呈減壓環境下,無電漿(non-plasma)式的被熱活性化並進行反應,藉此便生成原子狀氧(atomic oxygen,O)等非含有氧之水分(H2O)的氧化種。然後,主要利用該氧化種在步驟1a中,對晶圓200上所形成的含矽層施行氧化處理。然後,利用該氧化處理,使含矽層轉變(改質)為矽氧化層(SiO2層、以下亦簡稱「SiO層」)。依此,依照此項氧化處理,相較於單獨供給O2氣體的情況下,可大幅提升氧化力。即,藉由在減壓環境下,於O2氣體中添加H2氣體,相較於單獨供給O2氣體的情況下,可獲得大幅的氧化力提升效果。
另外,此時亦可使O2氣體與H2氣體中至少任一者或雙方,經利用電漿進行活性化後再流通。藉由使O2氣體及/或H2氣體經利用電漿進行活性化後才流通,便可生成含有更高能量活性種的氧化種,藉由利用此種氧化種進行氧化處理,亦認為具有提升裝置特性等的效果。例如當O2氣體與H2氣體雙方均經電漿進行活性化時,藉由對第1棒狀電極269與第2棒狀電極270間,從高頻電源273經由整合器272施加高頻電力,則供給至緩衝室237內的O2氣體與H2氣體之混合氣體便會被電漿活性化(電漿激發),形成含活性種的氣體、即含有O2 *(氧的活性種)或H2 *(氫的活性種)之氣體(氧化種),再從氣體供給孔248d供給至處理室201內,再從排氣管231被排氣。此時,從高頻電源273朝第1棒狀電極269與第2棒狀電極270間所施加的高頻電力,係設定成例如50~1000W範圍內的電力。其餘的處理條件係設為與上述處理條件相同。另外,在上述溫度帶中,O2氣體與H2氣體均會因熱被活性化而充分反應,俾生成充分量的原子狀氧(O)等氧化種。所以,即便O2氣體與H2氣體在無電漿情況下進行熱活性化,仍可獲得充分的氧化力。另外,O2氣體與H2氣體係經熱活性化後才供給的情況下,比較能產生不會造成電漿損傷的軟性反應,俾可軟性執行上述氧化處理。
含氧氣體、即氧化性氣體係除氧(O2)氣體之外,亦可使用臭氧(O3)氣體等。另外,在上述溫度帶中,經嘗試朝一氧化氮(NO)氣體或一氧化二氮(N2O)氣體中添加含氫氣體的效果,結果確認到相較於單獨供給NO氣體、或單獨供給N2O氣體的情況下,並無法獲得氧化力提升的效果。即,含氧氣體較佳係使用非含有氮之含氧氣體(未含氮的含氧氣體)。含氫氣體、即還原性氣體係除氫(H2)氣體之外,亦可使用重氫(D2)氣體等。另外,若使用氨(NH3)氣體、甲烷(CH4)氣體等,判斷氮(N)雜質、碳素(C)雜質會混入於膜中。即含氫氣體較佳係使用未含有其他元素的含氫氣體(未含其他元素但含氫或重氫的氣體)。即含氧氣體係可使用從O2氣體及O3氣體所構成群組中選擇至少一種的氣體,含氫氣體係可使用從H2氣體及D2氣體所構成群組中選擇至少一種的氣體。
[步驟4a]
在使含矽層轉變為矽氧化層之後,關閉第4氣體供給管232d的閥243d,停止O2氣體的供給。又,關閉第5氣體供給管232e的閥243e,停止H2氣體的供給。此時,排氣管231的APC閥244係設為保持開啟狀態,利用真空泵246對處理室201內施行真空排氣,將處理室201內所殘留的未反應或經參予矽氧化層形成後的O2氣體、H2氣體、反應副產物,從處理室201內排除。又,閥243i、243j、243f、243g、243h係保持開啟狀態,維持惰性氣體的N2氣體朝處理室201內的供給。N2氣體係具有沖洗氣體的作用,藉此可更加提高將處理室201內所殘留未反應或經參予矽氧化層形成後的O2氣體、H2氣體、反應副產物,從處理室201內予以排除的效果(殘留氣體除去)。
另外,此時亦可將處理室201內所殘留的氣體未完全排除,亦可未將處理室201內完全沖洗。在處理室201內所殘留的氣體若屬於微量,則在後續施行的步驟1a中不會造成不良影響。此時,對處理室201內所供給的N2氣體流量亦不需要設為大流量,例如藉由供給與反應管203(處理室201)的容積為相同程度的量,便可施行在步驟1a中不會造成不良影響程度的沖洗。依此,藉由處理室201內未完全沖洗,便可縮短沖洗時間,俾可提升產能。又,亦可將N2氣體的消耗抑制於必要最小極限。
此時加熱器207的溫度係設定為晶圓200溫度能成為與O2氣體及H2氣體供給時相同的例如450~800℃、較佳係550~750℃範圍內的溫度。從各惰性氣體供給系統所供給作為沖洗氣體的N2氣體之供給流量,分別設為例如100~2000sccm(0.1~2slm)範圍內的流量。沖洗氣體係除N2氣體之外,尚可使用諸如Ar、He、Ne、Xe等稀有氣體。
將上述步驟1a~4a設為1循環,藉由複數次重複施行該循環,便可在晶圓200上形成既定膜厚的第1矽氧化膜。第1矽氧化膜係成為依後述步驟所形成矽氮化膜的底層膜。
(矽氮化膜形成步驟)
接著,將以下的步驟1b~4b設為1循環,藉由複數次重複施行該循環,便在作為底層膜的第1矽氧化膜上形成既定膜厚的矽氮化膜。另外,此處第2原料氣體並非在第1矽氧化膜形成時所使用的HCDS氣體,而是使用熱分解溫度較高於HCDS氣體、且反應性較低的DCS氣體。又,矽氮化膜形成係在將晶圓200的溫度保持呈與上述第1矽氧化膜形成步驟的晶圓200溫度差在150℃以內、較佳係100℃以內的方式實施。
[步驟1b]
開啟第2氣體供給管232b的閥243b,使DCS氣體流入於第2氣體供給管232b中。DCS氣體係從第2氣體供給管232b流入,並利用質量流量控制器241b進行流量調整。經流量調整的DCS氣體從第2噴嘴233b的氣體供給孔248b,供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣(DCS氣體供給)。
此時亦可開啟第2惰性氣體供給管232g的閥243g,從第2惰性氣體供給管232g供給作為惰性氣體的N2氣體。N2氣體係利用質量流量控制器241g進行流量調整之後,才供給至第2氣體供給管232b內。經流量調整的N2氣體會在第2氣體供給管232b內與經流量調整的DCS氣體相混合,再從第2噴嘴233b的氣體供給孔248b,供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣。另外,此時為防止DCS氣體侵入於緩衝室237內、第1噴嘴233a、第3噴嘴233c內,便開啟閥243f、243h、243i、243j,使N2氣體流入於第1惰性氣體供給管232f、第3惰性氣體供給管232h、第4惰性氣體供給管232i、第5惰性氣體供給管232j內。N2氣體係經由第1氣體供給管232a、第3氣體供給管232c、第4氣體供給管232d、第5氣體供給管232e、第1噴嘴233a、第3噴嘴233c、緩衝室237,供給至處理室201內,再從排氣管231被排氣。
此時,適當調整APC閥244,將處理室201內的壓力維持於未滿大氣壓、例如10~1000Pa範圍內的壓力。利用質量流量控制器241b進行控制的DCS氣體之供給流量,係設定為例如10~1000sccm(0.01~1slm)範圍內的流量。利用質量流量控制器241g、241f、241h、241i、241j進行控制的N2氣體之供給流量,分別設定為例如100~2000sccm(0.1~2slm)範圍內的流量。DCS氣體對晶圓200進行供給的時間、即氣體供給時間(照射時間),係設定為例如1~120秒範圍內的時間。加熱器207的溫度係設定成在上述壓力帶能於處理室201內產生CVD反應的溫度。即依晶圓200的溫度成為例如550~800℃、較佳係600~800℃、更佳係650~750℃範圍內的溫度方式,設定加熱器207的溫度。另外,若晶圓200的溫度未滿550℃,則在晶圓200上不易發生DCS分解、吸附。又,若晶圓200的溫度未滿600℃,則DCS的分解、吸附不會充分進行,導致較難獲得實用的成膜速率。又,若晶圓200的溫度達650℃以上,則會充分進行DCS的分解、吸附,可獲得實用的充分成膜速率。又,若晶圓200的溫度超過750℃、特別係超過800℃,則CVD反應變強,導致膜厚均勻性惡化趨於明顯。所以,晶圓200的溫度較佳係設為550~800℃、更佳係600~800℃、特佳係650~750℃。另外,晶圓200的溫度亦可設為與第1矽氧化膜形成步驟中的晶圓200溫度為同樣溫度,但亦可設為不同的溫度。例如本實施形態,當第1矽氧化膜形成步驟係使用HCDS氣體,在矽氮化膜形成步驟中則使用反應性較低於HCDS氣體的氣體之DCS氣體時,亦有將矽氮化膜形成步驟的晶圓200溫度(第2溫度),設為較高於第1矽氧化膜形成步驟的晶圓200溫度(第1溫度)屬較佳的情況。此情況,為抑制產能降低,設定成第1溫度與第2溫度的差在150℃以內、較佳係100℃以內。例如亦可將第1溫度設為550~600℃,並將第2溫度設為650~700℃。
藉由在上述條件、即會產生CVD反應的條件下,將DCS氣體供給至處理室201內,便在第1矽氧化膜(底層膜)上,形成例如未滿1原子層至數原子層左右之厚度的含矽層。含矽層亦可為DCS氣體的吸附層、亦可為矽層(Si層),亦可含有二者。但,含矽層較佳係含有矽(Si)與氯(Cl)的層。
此處所謂「矽層」係除由矽(Si)構成的連續層之外,尚亦涵蓋不連續層、及該等重疊的矽薄膜之總稱。另外,亦有將由Si構成的連續層稱矽薄膜的情況。另外,構成矽層的Si係涵蓋與Cl或H間之鍵結未被完全切斷者。
再者,DCS氣體的吸附層係除DCS氣體的氣體分子之連續式化學吸附層之外,尚亦涵蓋不連續式化學吸附層。即,DCS氣體的吸附層係包括由DCS分子構成的1分子層或未滿1分子層厚度的吸附層。另外,構成DCS氣體之化學吸附層的DCS(SiH2Cl2)分子,亦涵蓋Si與Cl間之鍵結、或Si與H間之鍵結被部分切斷者(SiHxCly分子)。即DCS的化學吸附層係包括有SiH2Cl2分子及/或SiHxCly分子的連續式化學吸附層與不連續式化學吸附層。另外,所謂「未滿1原子層厚度的層」係指不連續形成的原子層,所謂「1原子層厚度的層」係指連續式形成的原子層。又,所謂「未滿1分子層厚度的層」係指不連續形成的分子層,所謂「1分子層厚度的層」係指連續式形成的分子層。
藉由在DCS氣體會自分解(熱分解)的條件下、即會產生DCS熱分解反應的條件下,在第1矽氧化膜上沉積Si便形成矽層。在DCS氣體不會自分解(熱分解)的條件下、即不會產生DCS熱分解反應的條件下,藉由使DCS氣體吸附於第1矽氧化膜上,便形成DCS氣體的吸附層。另外,相較於在第1矽氧化膜上形成DCS氣體吸附層的情況下,在第1矽氧化膜上形成矽層的情況比較能提高成膜速率,故屬較佳。
若在第1矽氧化膜上所形成含矽層的厚度超越數原子層,則後述步驟3b中的氮化(改質)作用便無法到達含矽層全體。又,第1矽氧化膜上可形成的含矽層厚度最小值係未滿1原子層。所以,含矽層的厚度較佳係設定為從未滿1原子層至數原子層左右。另外,藉由將含矽層的厚度設為1原子層以下、即1原子層或未滿1原子層,便可相對性提高後述步驟3b中的氮化反應(改質反應)作用,能縮短步驟3b中的氮化反應(改質反應)所需要時間。即可有效率執行步驟3b的含矽層之氮化。又,亦可縮短步驟1a中的含矽層形成所需要時間。結果,可縮短每1循環的處理時間,俾亦可縮短總計的處理時間。即亦可提高成膜速率。又,藉由將含矽層的厚度設為1原子層以下,亦可提高膜厚均勻性的控制性。
第2原料氣體(第2矽含有氣體)係除二氯矽烷(SiH2Cl2、簡稱:DCS)氣體之外,不僅可使用例如:六氯二矽烷(Si2Cl6、簡稱:HCDS)氣體、四氯矽烷(即四氯化矽、SiCl4、簡稱:STC)氣體、三氯矽烷(SiHCl3、簡稱:TCS)氣體、單氯矽烷(SiH3Cl、簡稱:MCS)氣體、單矽烷(SiH4)氣體等無機原料,尚可使用例如:胺基矽烷系的四(二甲基胺基)矽烷(Si[N(CH3)2]4、簡稱:4DMAS)氣體、三(二甲基胺基)矽烷(Si[N(CH3)2]3H、簡稱:3DMAS)氣體、雙(二乙基胺基)矽烷(Si[N(C2H5)2]2H2、簡稱:2DEAS)氣體、雙(第三丁基胺基)矽烷(SiH2[NH(C4H9)]2、簡稱、:BTBAS)氣體等有機原料。惰性氣體係除N2氣體之外,尚可使用例如:Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。
[步驟2b]
在第1矽氧化膜上形成含矽層之後,關閉第2氣體供給管232b的閥243b,停止DCS氣體的供給。此時,排氣管231的APC閥244維持開啟狀態,利用真空泵246對處理室201內進行真空排氣,而將處理室201內所殘留的未反應或經參予含矽層形成後的DCS氣體從處理室201內排除。又,在閥243g、243f、243h、243i、243j維持開啟狀態下,維持惰性氣體的N2氣體對處理室201內之供給。N2氣體係具有沖洗氣體的作用,藉此可更加提高將處理室201內所殘留的未反應或經參予含矽層形成後的DCS氣體從處理室201內排除之效果(殘留氣體除去)。
另外,此時亦可將處理室201內所殘留的氣體未完全排除,亦可未將處理室201內完全沖洗。在處理室201內所殘留的氣體若屬於微量,則在後續施行的步驟3b中不會造成不良影響。此時,對處理室201內所供給的N2氣體流量亦不需要設為大流量,例如藉由供給與反應管203(處理室201)的容積為相同程度的量,便可施行在步驟3b中不會造成不良影響程度的沖洗。依此,藉由處理室201內未完全沖洗,便可縮短沖洗時間,俾可提升產能。又,亦可將N2氣體的消耗抑制於必要最小極限。
此時加熱器207的溫度係設定為晶圓200溫度能成為與DCS氣體供給時相同的例如550~800℃、較佳係600~800℃、更佳係650~750℃範圍內的溫度。從各惰性氣體供給系統所供給作為沖洗氣體的N2氣體之供給流量,分別設為例如100~2000sccm(0.1~2slm)範圍內的流量。沖洗氣體係除N2氣體之外,尚可使用諸如Ar、He、Ne、Xe等稀有氣體。
[步驟3b]
經去除處理室201內的殘留氣體之後,開啟第3氣體供給管232c的閥243c,朝第3氣體供給管232c中流入NH3氣體。NH3氣體係從第3氣體供給管232c流入,並利用質量流量控制器241c進行流量調整。經流量調整過的NH3氣體經由第3氣體供給管232c,再從第3噴嘴233c的氣體供給孔248c供給至經加熱且呈減壓狀態的緩衝室237內。此時,若對第1棒狀電極269與第2棒狀電極270間施加高頻電力,則供給至緩衝室237內的NH3氣體便會被電漿活性化。若沒有對第1棒狀電極269與第2棒狀電極270間施加高頻電力,則供給至緩衝室237內的NH3氣體便會因熱而被活性化。本實施形態中,藉由沒有對第1棒狀電極269與第2棒狀電極270間施加高頻電力,而使供給至緩衝室237內的NH3氣體因熱被活性化。藉此,供給至緩衝室237內的NH3氣體因熱被活性化,再從緩衝室237的氣體供給孔248c供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣(NH3氣體供給)。另外,NH3氣體雖亦可經電漿活性化後才供給,但經熱活性化後才供給時比較能產生軟性的反應,俾可軟性進行後述的氮化。
此時,亦可開啟第3惰性氣體供給管232h的閥243h,並從第3惰性氣體供給管232h供給作為惰性氣體的N2氣體。N2氣體係利用質量流量控制器241h進行流量調整,再供給至第3氣體供給管232c內。經流量調整過的N2氣體會在第3氣體供給管232c內,與經流量調整過的NH3氣體相混合,再從第3噴嘴233c的氣體供給孔248c供給至經加熱且呈減壓狀態的緩衝室237內,再從緩衝室237的氣體供給孔248d供給至經加熱且呈減壓狀態的處理室201內,再從排氣管231被排氣。另外,此時為防止NH3氣體侵入於第1噴嘴233a、第2噴嘴233b、第4氣體供給管232d、第5氣體供給管232e內,便開啟閥243f、243g、243i、243j,使N2氣體流入於第1惰性氣體供給管232f、第2惰性氣體供給管232g、第4惰性氣體供給管232i、第5惰性氣體供給管232j內。N2氣體係經由第1氣體供給管232a、第2氣體供給管232b、第4氣體供給管232d、第5氣體供給管232e、第1噴嘴233a、第2噴嘴233b、第3噴嘴233c、緩衝室237,供給至處理室201內,再從排氣管231被排氣。
此時,適當調整APC閥244,將處理室201內的壓力維持於未滿大氣壓,例如1~3000Pa範圍內的壓力。利用質量流量控制器241c進行控制的NH3氣體供給流量,係設為例如100~10000sccm(0.1~10slm)範圍內的流量。利用質量流量控制器241h、241f、241g、241i、241j進行控制的N2氣體供給流量係分別設定為例如100~2000sccm(0.1~2slm)範圍內的流量。NH3氣體曝曬晶圓200的時間係設為例如1~120秒範圍內的時間。加熱器207的溫度係設定成晶圓200溫度成為與步驟1b的DCS氣體供給時為同樣溫度帶、即例如550~800℃、較佳係600~800℃、更佳係650~750℃範圍內的溫度。另外,若在該範圍內的溫度,確認到可獲得減壓環境下由NH3氣體造成的氮化效果(容後述),即含矽層的氮化反應。又,若晶圓200的溫度偏低,亦確認到無法獲得氮化的效果。若考慮產能,依此最好將加熱器207的溫度設定成保持與在步驟1b~3b中的處理室201內之溫度為同樣溫度帶。又,更佳依在步驟1b~步驟4b(容後述)中,使處理室201內的溫度保持同樣溫度帶的方式,設定加熱器207溫度之理由,係如上述。
藉由在上述條件下將NH3氣體供給至處理室201內,NH3氣體便在經加熱且呈減壓環境下,無電漿式的被熱活性化、或熱分解,而生成含氮的氮化種。此時,因為在處理室201內並沒有DCS氣體流動,因而NH3氣體不會產生氣相反應,NH3氣體因熱活性化或熱分解所獲得的氮化種,便會與在步驟1b中於第1矽氧化膜上所形成含矽層的至少其中一部分進行反應。藉此,對含矽層施行氮化處理,藉由該氮化處理,便使含矽層轉化(改質)為矽氮化層(Si3N4層、以下亦簡稱「SiN層」)。
另外,此時亦可使NH3氣體經利用電漿進行活性化後再流通。藉由使NH3氣體經利用電漿進行活性化後才流通,便可生成含有更高能量活性種的氮化種,藉由利用此種氮化種進行氮化處理,亦認為具有提升裝置特性等的效果。使NH3氣體經電漿進行活性化時,藉由對第1棒狀電極269與第2棒狀電極270間,從高頻電源273經由整合器272施加高頻電力,則供給至緩衝室237內的NH3氣體便會被電漿活性化(電漿激發),便依含NH3 *(氨活性種)之氣體(氮化種)的形式,從氣體供給孔248d供給至處理室201內,再從排氣管231被排氣。此時,從高頻電源273朝第1棒狀電極269與第2棒狀電極270間所施加的高頻電力,係設定成例如50~1000W範圍內的電力。其餘的處理條件係設為與上述處理條件相同。另外,在上述溫度帶中,NH3氣體會因熱被充分活性化,俾生成充分量的氮化種。所以,即便NH3氣體在無電漿情況下進行熱活性化,仍可獲得充分的氮化力。另外,NH3氣體係經熱活性化後才供給的情況下,比較能產生不會造成電漿損傷的軟性反應,俾可軟性執行上述氮化處理。
含氮氣體係除NH3氣體之外,尚可使用例如:聯胺(N2H2)氣體、聯氨(N2H4)氣體、N3H8氣體、胺系氣體等。
[步驟4b]
在使含矽層轉變為矽氮化層之後,關閉第3氣體供給管232c的閥243c,停止NH3氣體的供給。此時,排氣管231的APC閥244係設為保持開啟狀態,利用真空泵246對處理室201內施行真空排氣,將處理室201內所殘留的未反應或經參予矽氮化層形成後的NH3氣體、反應副產物,從處理室201內排除。又,閥243h、243f、243g、243i、243j係保持開啟狀態,維持惰性氣體的N2氣體朝處理室201內的供給。N2氣體係具有沖洗氣體的作用,藉此可更加提高將處理室201內所殘留未反應或經參予矽氮化層形成後的NH3氣體、反應副產物,從處理室201內予以排除的效果(殘留氣體除去)。
另外,此時亦可將處理室201內所殘留的氣體未完全排除,亦可未將處理室201內完全沖洗。在處理室201內所殘留的氣體若屬於微量,則在後續施行的步驟1b中不會造成不良影響。此時,對處理室201內所供給的N2氣體流量亦不需要設為大流量,例如藉由供給與反應管203(處理室201)的容積為相同程度的量,便可施行在步驟1b中不會造成不良影響程度的沖洗。依此,藉由處理室201內未完全沖洗,便可縮短沖洗時間,俾可提升產能。又,亦可將N2氣體的消耗抑制於必要最小極限。
此時加熱器207的溫度係設定為晶圓200溫度能成為與NH3氣體供給時相同的例如550~800℃、較佳係600~800℃、更佳係650~750℃範圍內的溫度。從各惰性氣體供給系統所供給作為沖洗氣體的N2氣體之供給流量,分別設為例如100~2000sccm(0.1~2slm)範圍內的流量。沖洗氣體係除N2氣體之外,尚可使用諸如Ar、He、Ne、Xe等稀有氣體。
將上述步驟1b~4b設為1循環,藉由複數次重複施行該循環,便可在作為底層膜的第1矽氧化膜上形成既定膜厚的矽氮化膜。矽氮化膜係成為依後述步驟所形成第2矽氧化膜的底層膜。
(第2矽氧化膜形成步驟)
接著,將以下步驟1c~4c設為1循環,藉由複數次重複施行該循環,便在作為底層膜的矽氮化膜上形成既定膜厚的第2矽氧化膜。
步驟1c~4c係依照與上述第1矽氧化膜形成步驟的步驟1a~4a為同樣程序及同樣條件實施。即,形成第2矽氧化膜時,原料氣體係使用第1原料氣體,即第1矽氧化膜形成步驟所使用的HCDS氣體。又,第2矽氧化膜的形成時,晶圓200的溫度係保持成與上述第1矽氧化膜形成步驟中的晶圓200溫度為同樣溫度帶。
然後,將該步驟1c~4c設為1循環,藉由複數次重複施行該循環,便可在矽氮化膜上形成既定膜厚的第2矽氧化膜。結果,在晶圓200上形成由依序積層著第1矽氧化膜、矽氮化膜、及第2矽氧化膜所構成的ONO積層構造絕緣膜。
(沖洗及大氣壓復原)
若已形成ONO積層構造的絕緣膜,便開啟閥243f、243g、243h、243i、243j,從第1惰性氣體供給管232f、第2惰性氣體供給管232g、第3惰性氣體供給管232h、第4惰性氣體供給管232i、第5惰性氣體供給管232j,分別將作為惰性氣體的N2氣體供給至處理室201內,再從排氣管231被排氣。N2氣體係具有沖洗氣體的作用,藉此可更加提高將處理室201內所殘留的氣體、反應副產物,被從處理室201內除去(沖洗)。然後,處理室201內的環境被置換為惰性氣體,處理室201內的壓力復原於常壓(大氣壓復原)。
(晶舟卸載及晶圓卸載)
然後,利用晶舟升降機115使密封蓋219下降,反應管203的下端呈開口,且處理畢晶圓200在由晶舟217保持的狀態下,從反應管203下端被搬出(晶舟卸載)於反應管203的外部。然後,從晶舟217中取出處理畢晶圓200(晶圓卸載)。
(3)本實施形態的效果
根據本實施形態,可達以下所示1項或複數項效果。
若依照本實施形態的成膜系列形成第1矽氧化膜與第2矽氧化膜,則確認到晶圓200面內的膜厚均勻性與梯度被覆性,分別相較於一般依照CVD法形成矽氧化膜的情況較為良好。然後,藉此確認到ONO積層構造絕緣膜在晶圓200面內的膜厚均勻性與梯度被覆性均呈良好。理由係本實施形態的第1矽氧化膜與第2矽氧化膜,藉由將供給HCDS氣體的步驟、與供給O2氣體與H2氣體的步驟設為1循環,並複數次重複施行該循環而形成的緣故所致(步驟1a~4a、步驟1c~4c)。另外,所謂「一般CVD法」係指同時供給屬於無機原料的DCS與N2O,並利用CVD法形成矽氧化膜[HTO(High Temperature Oxide,高溫氧化)膜]的方法。
再者,利用本實施形態的成膜系列所形成第1矽氧化膜與第2矽氧化膜,相較於利用一般CVD法所形成矽氧化膜(CVD-SiO膜)之下,確認到膜中的氮、氯等雜質濃度極低,Si/O比率極接近屬於化學計量組成的0.5,成為良質膜。理由係本實施形態的矽氧化膜之成膜系列,在經加熱且呈減壓環境下,使O2氣體與H2氣體進行反應而生成含有原子狀氧(O)的氧化種,使用該氧化種,使含矽層轉化為矽氧化層的緣故所致(步驟3a、3c)。藉由將該含有原子狀氧(O)的氧化種供給至含矽層,主要藉由原子狀氧所具有的能量,便可將含矽層中所含有的Si-N、Si-Cl、Si-H、Si-C鍵結予以切離。因為為形成Si-O鍵結的能量較高於Si-N、Si-Cl、Si-H、Si-C的鍵量,因而藉由將Si-O鍵結形成時所必要的能量從氧化種提供給含矽層,便可將含矽層中的Si-N、Si-Cl、Si-H、Si-C鍵結予以切離。經切離與Si間之鍵結的N、H、Cl、C會被從膜中除去,再依N2、H2、Cl2、HCl、CO2等的形式被排出。因與N、H、Cl、C間之鍵結被切斷而多餘的Si鍵結基座,會與氧化種中所含的O相鍵結。依此,可將第1矽氧化膜與第2矽氧化膜形成極良質的矽氧化膜。所以,藉此可獲得極良質的ONO積層構造絕緣膜。
再者,本實施形態的第1矽氧化膜與第2矽氧化膜並不僅侷限於使用無機系矽原料形成的情況,確認到即便使用有機系矽原料形成的情況,晶圓200面內的膜厚均勻性、梯度被覆性、膜中之雜質濃度仍呈良好。而,藉此確認到即便使用有機系原料的情況,仍可使ONO積層構造絕緣膜在晶圓200面內的膜厚均勻性、梯度被覆性、膜中雜質濃度均呈良好。
若依照本實施形態的成膜系列形成矽氮化膜,則確認到晶圓200面內的膜厚均勻性與梯度被覆性,相較於一般依照CVD法形成矽氮化膜的情況均較為良好。然後,藉此確認到ONO積層構造絕緣膜在晶圓200面內的膜厚均勻性與梯度被覆性均呈良好。理由係本實施形態的矽氮化膜,藉由將供給DCS氣體的步驟、與供給NH3氣體的步驟設為1循環,並複數次重複施行該循環而形成的緣故所致(步驟1b~4b)。另外,所謂「一般CVD法」係指同時供給屬於無機原料的DCS與NH3,並利用CVD法形成矽氮化膜的方法。
再者,利用本實施形態的成膜系列所形成矽氮化膜,相較於利用一般CVD法所形成矽氮化膜(CVD-SiN膜)之下,確認到膜中的氫濃度較少,成為極良質的膜。理由係本實施形態的矽氮化膜之成膜系列,使用在經加熱且呈減壓環境下,由NH3氣體經活性化或經熱分解所獲得的氮化種,俾使含矽層轉化為矽氮化層的緣故所致(步驟3b)。氮化種所具有的能量不盡會使Si-H鍵結乖離,就連具有較Si-H鍵結更高鍵能的N-H鍵結亦會使乖離,藉此便可從矽氮化層中去除H(氫)。經除去的H會依H2等的形式被排出。而與氫間之鍵結被切離的Si或N,分別再與N、Si相鍵結,而形成新的Si-N鍵結。依此,可使矽氮化膜形成極良質的膜。所以,藉此可獲得極良質的ONO積層構造絕緣膜。
再者,本實施形態的矽氮化膜並不僅侷限於使用無機系矽原料形成的情況,確認到即便使用有機系矽原料形成的情況,晶圓200面內的膜厚均勻性、梯度被覆性、膜中之雜質濃度仍呈良好。而,藉此確認到即便使用有機系原料的情況,仍可使ONO積層構造絕緣膜在晶圓200面內的膜厚均勻性、梯度被覆性、膜中雜質濃度均呈良好。
再者,根據本實施形態的成膜系列,確認到可大幅提升形成第1矽氧化膜、矽氮化膜、第2矽氧化膜時的生產性,即形成ONO積層構造絕緣膜時的生產性。
理由係本實施形態的成膜系列,因為使第1矽氧化膜、矽氮化膜及第2矽氧化膜的膜厚均勻性與梯度被覆性獲改善,因而不需要降低處理室201內的壓力俾抑制晶圓200周邊的氣相反應,且亦不需要增加晶圓200的排列間距(由晶舟217所保持相鄰晶圓200間的距離)之緣故所致。
再者,本實施形態的成膜系列,在第1矽氧化膜與第2矽氧化膜形成時,使用由O2氣體與H2氣體經熱進行活性化而獲得的氧化種,而在矽氮化膜形成時,則使用由NH3氣體被活性化或經熱分解而獲得的氮化種進行成膜,因而可提高各自的成膜速度。藉此,可更加提升形成ONO積層構造絕緣膜時的生產性。
再者,本實施形態的成膜系列,因為在同一處理容器內(原地(in-situ))形成第1矽氧化膜、矽氮化膜及第2矽氧化膜,且將形成矽氧化膜時的晶圓200溫度、與形成矽氮化膜時的晶圓200溫度之差設為150℃以內、較佳100℃以內進行連續性成膜,便可更加提升形成ONO積層構造絕緣膜時的生產性。另外,當利用一般CVD法形成ONO積層構造絕緣膜時,頗難將形成矽氧化膜時的晶圓溫度、與形成矽氮化膜時的晶圓溫度差設為150℃以內。即,本實施形態的成膜系列,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間、以及在矽氮化膜形成步驟與第2矽氧化膜形成步驟之間,分別必需要施行大幅的溫度調整、即基板溫度大幅升降,因而可大幅提升生產性。又,因為亦不需要施行不同裝置間的晶圓200搬送、及搬送後的壓力調整等,因而亦可大幅提升生產性。
(4)變化例
本實施形態的成膜系列例如亦可依如下述進行變更。該等變化例亦可達與上述成膜系列同樣的效果。另外,以下所示變化例係可任意組合使用。
(變化例1)
圖4、圖11所示上述成膜系列,第1氧化膜與第2氧化膜形成時所使用的第1原料氣體、與氮化膜形成時所使用的第2原料氣體,係設為不同的原料氣體,惟本實施形態並不僅侷限於此態樣。例如第1原料氣體與第2原料氣體亦可設為由相同物質構成的原料氣體。
圖5所示係本變化例的成膜流程圖。圖12所示係本變化例的氣體供給時序圖。該等圖所示係第1原料氣體與第2原料氣體均為使用HCDS氣體的例子。另外,本變化例不同於上述成膜系列之處,僅在於氮化膜的形成步驟中,第2原料氣體係使用HCDS氣體之處,就其餘之處均與圖4、圖11所示上述成膜系列相同。以下,針對本變化例的氮化膜(矽氮化膜)之形成步驟進行說明。
本變化例的矽氮化膜之成膜系列,將後述步驟1b~4b設為1循環,藉由複數次重複施行該循環,便在第1矽氧化膜上形成既定膜厚的矽氮化膜。
[步驟1b]
依照與上述第1矽氧化膜形成步驟的步驟1a為同樣程序及同樣條件,對經加熱且呈減壓狀態的處理室201內供給HCDS氣體(或HCDS氣體與N2氣體的混合氣體),並進行排氣(HCDS氣體供給)。該步驟1b的處理條件、使進行反應、所形成的層等,均與上述第1矽氧化膜形成步驟中的步驟1a相同。即,該步驟係藉由對處理室201內供給HCDS氣體,便在第1矽氧化膜上形成含矽層。
[步驟2b]
在第1矽氧化膜上形成含矽層之後,便依照與第1矽氧化膜形成步驟的步驟2a為同樣程序及同樣條件,將HCDS氣體從處理室201內排除,且將處理室201內利用N2氣體進行沖洗(殘留氣體除去)。
[步驟3b]
在將處理室201內的殘留氣體予以除去後,便依照與矽氮化膜形成步驟的步驟3b為同樣程序及同樣條件,對經加熱且呈減壓狀態的處理室201內供給NH3氣體(或NH3氣體與N2氣體的混合氣體),並進行排氣(NH3氣體供給)。該步驟3b的處理條件、使進行反應、所形成的層等,均與上述矽氮化膜形成步驟中的步驟3b相同。即,該步驟中,藉由對處理室201內供給NH3氣體,便使由步驟1b所形成的含矽層轉變(改質)為矽氮化層。
[步驟4b]
使含矽層轉變為矽氮化層之後,便依照與矽氮化膜形成步驟的步驟4b為同樣程序及同樣條件,將NH3氣體、反應副產物從處理室201內予以排除,且將處理室201內利用N2氣體施行沖洗(殘留氣體除去)。
將上述步驟1b~4b設為1循環,藉由複數次重複施行該循環,便可在作為底層膜的第1矽氧化膜上,形成既定膜厚的矽氮化膜。
依此,即便第1原料氣體與第2原料氣體均使用HCDS氣體,仍可達與圖4、圖11所示上述成膜系列為同樣的效果。另外,即便與其相反,第1原料氣體與第2原料氣體均使用DCS氣體,亦可達同樣的效果。另外,因為HCDS氣體的熱分解溫度較低於DCS氣體,且屬於反應性較高的氣體,因而當第1原料氣體或第2原料氣體係使用HCDS氣體的情況,便可形成較高的成膜速度,俾可提升生產性。
(變化例2)
圖4、圖11所示上述成膜系列,將供給第1原料氣體的步驟、與供給含氧氣體與含氫氣體的步驟設為1循環,藉由複數次重複施行該循環,而形成第1氧化膜與第2氧化膜,惟本實施形態並不僅侷限於此態樣。
例如形成第1氧化膜的步驟及/或形成第2氧化膜的步驟,亦可將供給第1原料氣體的步驟、及供給含氧氣體與含氫氣體的步驟設為1循環,經重複複數次施行該循環之後,再度施行供給含氧氣體與含氫氣體的步驟(改質步驟)。此成膜系列亦是與圖4、圖11所示上述成膜系列同樣,在未使用電漿情況下,利用熱使含氧氣體與含氫氣體被活性化之後才供給。另外,該成膜系列亦可僅施行形成第1氧化膜的步驟,亦可僅施行形成第2氧化膜的步驟,又亦可形成第1氧化膜的步驟與形成第2氧化膜的步驟二者均實施。
圖6所示係本變化例的成膜流程圖。圖13所示係本變化例的氣體供給時序圖。該等圖所示係本變化例的氧化膜成膜系列,為施行形成第1氧化膜的步驟與形成第2氧化膜的步驟二者的例子。另外,本變化例不同於上述成膜系列之處僅在於:在形成第1氧化膜的步驟及/或形成第2氧化膜的步驟中,將供給第1原料氣體的步驟、與供給含氧氣體與含氫氣體的步驟設為1循環,藉由複數次重複施行該循環之後,就改質步驟係再度施行供給含氧氣體與含氫氣體的步驟之處而已,其餘之處則均與圖4、圖11所示上述成膜系列相同。以下,針對形成第1氧化膜(第1矽氧化膜)的步驟、與形成第2氧化膜(第2矽氧化膜)的步驟進行說明。
本變化例的第1矽氧化膜之成膜系列,將以圖4、圖11所示上述成膜系列的步驟1a~4a為同樣步驟1a~4a設為1循環,藉由複數次重複施行該循環,便在晶圓200上形成既定膜厚的矽氧化膜。然後,再度實施本變化例與步驟3a、4a相同的步驟,便從上述矽氧化膜中去除膜中雜質,而執行改善膜質的改質步驟。藉由該等一連串的處理,便形成第1矽氧化膜。
再者,同樣的,本變化例的第2矽氧化膜之成膜系列,係將與圖4、圖11所示上述成膜系列的步驟1c~4c為同樣步驟1c~4c設為1循環,藉由複數次重複施行該循環,便在矽氮化膜上形成既定膜厚的矽氧化膜。然後,再度實施本變化例與步驟3c、4c同樣的步驟,便從上述矽氧化膜中去除膜中雜質,而執行改善膜質的改質步驟。藉由該等一連串的處理,便形成第2矽氧化膜。
另外,改質步驟的處理條件係與步驟3a、4a、3c、4c略同。但,晶圓200的溫度亦可依成為例如350~1200℃範圍內的溫度之方式,設定為較高於步驟3a、4a、3c、4c。又,O2氣體與H2氣體對晶圓200進行供給的時間,亦可依成為例如60~600秒範圍內的時間之方式,設定為較長時間。圖13所示係利用改質步驟將O2氣體與H2氣體供給至晶圓200的時間,設定為較在步驟3a、3c中將O2氣體與H2氣體供給至晶圓200之時間更久的樣子。
依照本變化例成膜系列所形成的第1矽氧化膜與第2矽氧化膜,相較於一般利用CVD法所形成矽氧化膜(CVD-SiO膜)之下,確認到膜中的氮、氫及氯等雜質濃度極低,Si/O比率極接近屬於化學計量組成的0.5,成為良質的膜。理由係本變化例矽氧化膜的成膜系列,在經加熱的減壓環境下,使O2氣體與H2氣體進行反應而生成含原子狀氧(O)的氧化種,使用該氧化種,改質矽氧化膜(SiO2膜)的緣故所致(改質步驟)。藉由將該含有該原子狀氧(O)的氧化種供給至矽氧化膜,主要藉由原子狀氧所具有的能量,便可將矽氧化膜中所含有的Si-N、Si-Cl、Si-H、Si-C鍵結予以切離。因為形成Si-O鍵結的能量較高於Si-N、Si-Cl、Si-H、Si-C的鍵量,因而藉由將Si-O鍵結形成時所必要的能量從氧化種提供給矽氧化膜,便可將矽氧化膜中的Si-N、Si-Cl、Si-H、Si-C鍵結予以切離。經切離與Si間之鍵結的N、H、Cl、C會被從膜中除去,再依N2、H2、Cl2、HCl、CO2等的形式被排出。因與N、H、Cl、C間之鍵結被切斷而多餘的Si鍵結基座,會與氧化種中所含的O相鍵結。又,此時的矽氧化膜係經緻密化。依此,可將第1矽氧化膜與第2矽氧化膜形成極良質的矽氧化膜。所以,藉此可獲得極良質的ONO積層構造絕緣膜。
(變化例3、4)
圖4、圖11所示上述成膜系列,將供給第1原料氣體的步驟、與供給含氧氣體與含氫氣體的步驟設為1循環,藉由複數次重複施行該循環,便形成第1氧化膜與第2氧化膜,惟本實施形態並不僅侷限於此態樣。
例如形成第1氧化膜的步驟及/或形成第2氧化膜的步驟,亦可省略供給第1原料氣體的步驟,而連續式供給含氧氣體與含氫氣體。另外,該成膜系列亦可僅施行形成第1氧化膜的步驟,亦可僅施行形成第2氧化膜的步驟,又亦可形成第1氧化膜的步驟與形成第2氧化膜的步驟二者均有實施。
圖7所示係變化例3的成膜流程圖。圖14所示係變化例3的氣體供給時序圖。該等圖係本變化例的氧化膜成膜系列,為形成第1氧化膜的步驟與形成第2氧化膜的步驟二者均有實施的例子。又,圖8所示係變化例4的成膜流程圖。圖15所示係變化例4的氣體供給時序圖。該等圖係本變化例的氧化膜成膜系列僅施行形成第2矽氧化膜的步驟而已,而第1矽氧化膜則依照圖4、圖11所示上述成膜系列形成的例子。該等變化例不同於圖4、圖11所示上述成膜系列之處,僅在於就形成第1氧化膜的步驟及/或形成第2氧化膜的步驟,省略供給第1原料氣體的步驟,而是連續式供給含氧氣體與含氫氣體之處而已,其餘均與圖4、圖11所示上述成膜系列同樣。以下,針對形成第1氧化膜(第1矽氧化膜)的步驟、與形成第2氧化膜(第2矽氧化膜)的步驟進行說明。
變化例3的第1矽氧化膜之成膜系列,並未施行供給HCDS氣體的步驟,而是藉由施行與圖4、圖11所示上述成膜系列的步驟3a、4a為同樣步驟,即連續式供給經熱活性化的O2氣體與H2氣體,然後施行沖洗,便使在晶圓200上預先形成底層的矽膜進行氧化,而形成第1矽氧化膜。即,此情況,如圖4、圖11所示上述成膜系列,在晶圓200上的矽膜上並未使第1矽氧化膜沉積,而是使預先形成的矽膜表面氧化,而形成第1矽氧化膜。所以,此情況,晶圓200上的矽膜形成較原本必要膜厚更厚,藉由使形成為膜厚更厚的部分進行氧化,便形成第1矽氧化膜。
再者,變化例3、4的第2矽氧化膜之成膜系列,係以第1矽氧化膜當作底層並形成矽氮化膜之後,於未供給HCDS氣體的步驟情況下,藉由施行與圖4、圖11所示上述成膜系列的步驟3a、4a為同樣步驟,即連續式供給經熱活性化的O2氣體與H2氣體,然後施行沖洗,而使底層的矽氮化膜氧化,便形成第2矽氧化膜。即,此情況,如圖4、圖11所示上述成膜系列,未在矽氮化膜上沉積第2矽氧化膜之情況下,使矽氮化膜表面氧化,而形成第2矽氧化膜。所以,此情況,在第1矽氧化膜上所形成矽氮化膜的膜厚,可形成較原本必要膜厚更厚,藉由使形成為膜厚更厚的部分進行氧化,便形成第2矽氧化膜。 <本發明第2實施形態>
上述第1實施形態,在施行形成第1氧化膜的步驟之後,連續施行氮化膜形成。然而,此情況,在氮化膜形成初期,第2原料氣體對第1氧化膜表面的吸附會發生延遲(所謂「潛伏期」(incubation time)),會有導致氮化膜的開始形成較慢,造成形成ONO積層構造絕緣膜時的生產性降低情形。例如當矽氮化膜形成時所使用的第2原料氣體,係使用熱分解溫度較高於HCDS氣體、且反應性較低的DCS氣體時(圖4、圖11等所示成膜系列的情況),即便開始進行矽氮化膜形成步驟的步驟1b,仍不會馬上在第1矽氧化膜表面上化學吸附DCS氣體、或Si不會沉積,導致會有上述潛伏期拉長的情形。
緣是,本實施形態係實施下述步驟:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、與供給氧化氣體(含氧氣體)與還原氣體(含氫氣體)的步驟之循環,藉此在基板上形成第1氧化膜的步驟;對在處理容器內被加熱至第1溫度以上且第2溫度以下溫度的基板,供給氮化氣體(含氮氣體),藉此在第1氧化膜表面上形成種子層的步驟;對在處理容器內被加熱至第2溫度的基板,既定次數執行包括有:供給第2原料氣體的步驟、與供給氮化氣體的步驟之循環,藉此在第1氧化膜表面上所形成種子層上,形成氮化膜的步驟;以及對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、及供給氧化氣體(含氧氣體)與還原氣體(含氫氣體)的步驟之循環,藉此在氮化膜上形成第2氧化膜的步驟。
即,本實施形態在施行形成第1氧化膜的步驟之後,於施行形成氮化膜的步驟之前,便對處理容器內的晶圓200施行先行供給含氮氣體的步驟。圖9所示係本實施形態的成膜流程圖,圖16所示係本實施形態的氣體供給時序圖。另外,本實施形態不同於第1實施形態之處,僅在於:在施行形成第1氧化膜的步驟(第1矽氧化膜形成步驟)之後,於形成氮化膜的步驟(矽氮化膜形成步驟)之前,便對處理容器內的晶圓200,先行供給作為含氮氣體之例如NH3氣體的步驟(NH3氣體先行供給步驟)而已,其餘均與第1實施形態相同。以下,針對NH3氣體先行供給步驟進行說明。
本實施形態的NH3氣體先行供給步驟,藉由依序施行後述步驟1d、2d,而對第1矽氧化膜表面施行氮化處理,便在第1矽氧化膜表面上形成作為種子層之具Si-N鍵結的層、即矽氮化層。
[步驟1d]
在晶圓200上形成第1矽氧化膜之後,再依照與矽氮化膜形成步驟的步驟3b為同樣程序,對經加熱且呈減壓狀態的處理室201內供給NH3氣體(或NH3氣體與N2氣體的混合氣體)並排氣(NH3氣體供給)。由NH3氣體經熱活性化、或進行熱分解而獲得的氮化種,會與第1矽氧化膜的表面產生反應。藉此,對第1矽氧化膜的表面施行氮化處理(熱氮化處理),藉由該氮化處理,第1矽氧化膜的表面便轉變(改質)為具Si-N鍵結的層、即矽氮化層。
[步驟2d]
使第1矽氧化膜的表面轉變為矽氮化層之後,再依照與矽氮化膜形成步驟的步驟4b為同樣程序,將NH3氣體、反應副產物從處理室201內予以排除,且將處理室201內利用N2氣體施行沖洗(殘留氣體除去)。
藉由施行上述步驟1d、2d,便可在作為底層膜的第1矽氧化膜上,形成既定厚度的矽氮化層。然後,藉由依序施行上述的矽氮化膜形成步驟、第2矽氧化膜形成步驟,便在晶圓200上形成由依序積層著第1矽氧化膜、矽氮化膜、第2矽氧化膜所構成的ONO積層構造絕緣膜。
另外,NH3氣體先行供給步驟的處理條件係與步驟3b、4b略同。但,步驟1d的處理室201內之壓力亦可設定為較高於步驟3b的處理室201內之壓力。例如處理室201內的壓力亦可設定為100~3000Pa範圍內的壓力。處理室201內的壓力設定越高,便能使第1矽氧化膜的表面越有效率地氮化。又,NH3氣體供給至晶圓200的時間、即氣體供給時間(照射時間),亦可依成為例如60~300秒範圍內的時間之方式,設定成較步驟3b的NH3氣體供給時間更長。圖16所示係NH3氣體先行供給步驟中NH3氣體供給至晶圓200的時間,設較長於步驟3b中NH3氣體供給至晶圓200的時間之樣子。又,晶圓200的溫度亦可設為步驟1a~4a的晶圓200之溫度(第1溫度)以上的溫度,且步驟1b~4b的晶圓200之溫度(第2溫度)以下的溫度。但,藉由將晶圓200的溫度設為與步驟1b~4b的晶圓200之溫度(第2溫度)為同樣溫度,便可使第1矽氧化膜的表面充分改質(氮化)。此情況,因為晶圓200的溫度在步驟1d~2d及步驟1b~4b中均沒有變更,因而就此部分可提升生產性。即,晶圓200的溫度更佳係設為與第2溫度同樣的溫度。另外,在NH3氣體先行供給步驟中,於第1矽氧化膜表面上所形成具Si-N鍵結之層(矽氮化層)的厚度,設定為例如0.1~2nm、較佳係1~2nm範圍內的厚度。
本實施形態亦可達與第1實施形態同樣的效果。又,根據本實施形態,在NH3氣體先行供給步驟中於第1矽氧化膜表面上所形成的矽氮化層,具有作為促進使第2原料氣體化學吸附於第1矽氧化膜上、及使Si沉積之層的作用。即,在第1矽氧化膜表面上所形成的矽氮化層,在矽氮化膜的形成初期,具有作為促進矽氮化膜成長的初始層、即種子層的作用。結果,即便第2原料氣體係使用熱分解溫度較高於HCDS氣體、且反應性較低之諸如DCS氣體等的情況,亦可使矽氮化膜的形成能迅速開始(可縮短潛伏期),俾可更加提升形成ONO積層構造絕緣膜時的生產性。 <本發明第3實施形態>
上述第2實施形態,藉由在施行形成第1氧化膜的步驟之後,於施行形成氮化膜的步驟之前,便施行對處理容器內的晶圓200先行供給含氮氣體的步驟,俾使氮化膜的形成能迅速開始,惟本發明並不僅侷限於該形態。
例如在施行形成第1氧化膜的步驟之後,於施行形成氮化膜的步驟之前,亦可對處理容器內的晶圓200,交錯施行供給反應性較高於第2原料氣體之原料氣體的步驟、及供給含氮氣體的步驟。
圖10所示係本實施形態的成膜流程圖,圖17所示係本實施形態的氣體供給時序圖。另外,本實施形態不同於第2實施形態之處,僅在於:在施行形成第1氧化膜的步驟(第1矽氧化膜形成步驟)之後,於施行形成氮化膜的步驟(矽氮化膜形成步驟)之前,便對處理容器內的晶圓200,交錯施行:供給反應性較高於作為第2原料氣體用之DCS氣體的原料氣體(例如HCDS氣體)的步驟、及供給作為含氮氣體之例如NH3氣體的步驟,即施行HCDS-SiN層形成步驟,其餘均與第2實施形態同樣。以下,針對HCDS-SiN層形成步驟進行說明。
本實施形態的HCDS-SiN層形成步驟,將後述步驟1e~4e設為1循環,藉由施行該循環達1次以上(既定次數),便依覆蓋(積層)第1矽氧化膜表面的方式,形成作為種子層用的矽氮化層(HCDS-SiN層)。
[步驟1e]
在晶圓200上形成第1矽氧化膜之後,依照與第1矽氧化膜形成步驟的步驟1a為同樣程序及同樣條件,對經加熱且呈減壓狀態的處理室201內供給HCDS氣體(或HCDS氣體與N2氣體的混合氣體)並排氣(HCDS氣體供給)。此步驟1e中的處理條件、使產生反應、所形成的層等,均與第1矽氧化膜形成步驟中的步驟1a相同。即,此步驟係藉由對處理室201內供給HCDS氣體,而在第1矽氧化膜上形成含矽層。
[步驟2e]
在第1矽氧化膜上形成含矽層之後,便依照與第1矽氧化膜形成步驟的步驟2a為同樣程序及同樣條件,將HCDS氣體從處理室201內予以排除,且將處理室201內利用N2氣體施行沖洗(殘留氣體除去)。
[步驟3e]
在將處理室201內的殘留氣體予以除去後,便依照與矽氮化膜形成步驟的步驟3b為同樣程序及同樣條件,對經加熱且呈減壓狀態的處理室201內供給NH3氣體(或NH3氣體與N2氣體的混合氣體),並進行排氣(NH3氣體供給)。該步驟3e的處理條件、使進行反應、所形成的層等,均與矽氮化膜形成步驟中的步驟3b相同。即,該步驟中,藉由對處理室201內供給NH3氣體,便使由步驟1e所形成的含矽層轉變(改質)為矽氮化層。
[步驟4e]
使含矽層轉變為矽氮化層之後,便依照與矽氮化膜形成步驟的步驟4b為同樣程序及同樣條件,將NH3氣體、反應副產物從處理室201內予以排除,且將處理室201內利用N2氣體施行沖洗(殘留氣體除去)。
將上述步驟1e~4e設為1循環,藉由施行該循環達1次以上、較佳係1~10次左右、例如1~5次左右,便能依覆蓋作為底層膜的第1矽氧化膜表面之方式,形成既定厚度的矽氮化層(HCDS-SiN層)。圖17所示係上述循環施行1次時的例子。另外,步驟1e~4e的晶圓200之溫度係可設為與第2實施形態的步驟1d中之晶圓200的溫度為同樣溫度。但,與步驟1d同樣,晶圓200的溫度更佳係設為與第2溫度同樣的溫度。然後,藉由依序施行上述的矽氮化膜形成步驟、第2矽氧化膜形成步驟,便在晶圓200上,形成由依序積層著第1矽氧化膜、矽氮化膜及第2矽氧化膜構成的ONO積層構造絕緣膜。另外,在HCDS-SiN層形成步驟中,於第1矽氧化膜表面上所形成HCDS-SiN層的厚度,係設為例如0.1~2nm、較佳係1~2nm範圍內的厚度。
本實施形態亦可達與第1實施形態同樣的效果。更,根據本實施形態,在HCDS-SiN層形成步驟中,依覆蓋第1矽氧化膜表面的方式所形成HCDS-SiN層,具有作為促進使第2原料氣體化學吸附於第1矽氧化膜上、及使Si沉積之層的作用。即,在第1矽氧化膜表面上所形成的HCDS-SiN層,在矽氮化膜的形成初期,具有作為促進矽氮化膜成長的初始層、即種子層的作用。結果,即便第2原料氣體係使用熱分解溫度較高於HCDS氣體、且反應性較低之諸如DCS氣體等的情況,亦可使矽氮化膜的形成能迅速開始(可縮短潛伏期),俾可更加提升形成ONO積層構造絕緣膜時的生產性。 <本發明另一實施形態>
以上,雖針對本發明實施形態進行具體說明,惟本發明並不僅侷限於上述實施形態,在不脫逸其主旨的範疇內均可進行各種變更。
例如上述第1氧化膜與第2氧化膜並不僅侷限於依照同一方法形成的情況,亦可依照不同方法形成。即,第1氧化膜的形成、及第2氧化膜的形成,亦可適當組合搭配上述第1實施形態及其變化例1~4所記載的各種成膜系列。
再者,例如上述氮化膜並不僅侷限於藉由交錯施行供給第2原料氣體(DCS氣體)的步驟、及供給氮化氣體(NH3氣體)的步驟而形成,亦可藉由同時施行供給第2原料氣體的步驟、及供給氮化氣體的步驟而形成。如上述各實施形態,當第2原料氣體係使用屬於氯矽烷系原料氣體的DCS氣體時,在上述各實施形態的處理條件下,即便同時供給第2原料氣體與氮化氣體,亦可將形成第1氧化膜、氮化膜、及第2氧化膜時的基板溫度差,設為150℃以內、較佳係100℃以內。此情況,相較於交錯供給第2原料氣體與氮化氣體的情況下,可提高成膜速率,俾可更加提升形成第1氧化膜、氮化膜、第2氧化膜時的生產性。
即便此情況,於同時供給第2原料氣體與氮化氣體之前,亦可如第2實施形態,施行NH3氣體先行供給步驟。又,在同時供給第2原料氣體與氮化氣體之前,亦可如第3實施形態,施行HCDS-SiN層形成步驟。藉此,即便此情況,仍可使矽氮化膜的形成迅速開始(能縮短潛伏期),俾可更加提升形成ONO積層構造絕緣膜時的生產性。
再者,例如上述實施形態,針對具有SiO/SiN/SiO積層構造(ONO積層構造)的積層膜形成例進行說明,惟本發明並不僅侷限於此情況。例如本發明就例如:形成具有SiO/SiN/SiO/SiN/SiO積層構造(ONONO積層構造)的積層膜、形成具有SiN/SiO/SiN積層構造(NON積層構造)的積層膜、形成具有SiO/SiN積層構造(ON積層構造)的積層膜、形成具有SiN/SiO積層構造(NO積層構造)的積層膜等情況,亦均頗為適用。
再者,本實施形態的成膜系列並不僅侷限於在晶圓上所形成其他膜上,形成ONO積層構造(或ONONO、NON、ON、NO積層構造等)絕緣膜的情況(即形成堆疊構造的情況),亦頗適用於在晶圓表面上所形成溝渠構造上,形成ONO積層構造絕緣膜的情況(即形成溝渠構造的情況)。
但,形成諸如ONO、ONONO、NON、ON、NO積層構造等積層膜時,在氮化膜上形成氧化膜的情況下,於氧化膜形成時成為底層的氮化膜,亦可形成較構成積層膜所必要的氮化膜膜厚更厚狀態。即,在形成當氧化膜形成時將成為底層的氮化膜時,亦可形成較最終所需要膜厚更厚膜厚的氮化膜。在氮化膜上,依上述各實施形態的成膜系列形成氧化膜時,於形成氧化膜的過程中,成為底層的氮化膜表面會遭氧化(消耗),導致會有氮化膜的膜厚變成較薄於為構成積層膜而必要氮化膜之膜厚的情況。此種情況,預先測定在氮化膜上形成氧化膜時對遭氧化(消耗)的氮化膜膜厚,並在形成氮化膜時,藉由形成剛好增加此部分厚度的氮化膜,便可確保積層膜所必要的氮化膜膜厚。
再者,上述形成氧化膜的步驟,亦可更進一步施行在氧化膜中添加氮(N)的步驟。此情況,形成氧化膜的步驟中,只要更進一步設計對處理容器內的基板供給氮化氣體之步驟便可。此時的處理條件、所使用氮化氣體,係可設為例如與第1實施形態的成膜系列中之步驟3b為同樣處理條件、及氮化氣體。但,基板的溫度最好設為與第1實施形態的成膜系列中,步驟1a~4a的基板溫度為同樣溫度。另外,當在氧化膜中微量添加氮時,較佳係設為無電漿條件。又,在氧化膜中添加氮的步驟,亦可與對處理容器內的基板施行氧化氣體與還原氣體的供給步驟同時實施,亦可在該步驟之前便實施,亦可在該步驟之後才實施。
例如第1實施形態的成膜系列(參照圖4),於氧化膜中添加氮的步驟亦可與步驟3a同時實施,亦可在步驟3a之前便實施,亦可在步驟3a之後才實施。
依此,在形成氧化膜的步驟中,藉由更進一步設計在氧化膜中添加氮的步驟,而形成經添加氮的氧化膜。
再者,上述形成氮化膜的步驟,亦可更進一步施行在氮化膜中添加氧(O)的步驟。此情況,形成氮化膜的步驟,只要更進一步設計對處理容器內的基板供給氧化氣體的步驟便可。此時的處理條件、與所使用的氧化氣體,係可設為例如與第1實施形態的成膜系列中之步驟3a為同樣的處理條件、氧化氣體。但,基板的溫度最好設為與第1實施形態的成膜系列中,步驟1b~4b的基板溫度為同樣溫度。另外,在氮化膜中微量添加氧的情況,最好設為無電漿的條件。又,在氮化膜中添加氧的步驟,亦可與對處理容器內的基板供給氮化氣體之步驟同時實施,亦可在該步驟之前便實施,亦可在該步驟之後才實施。
例如第1實施形態的成膜系列(參照圖4),於氮化膜中添加氧的步驟亦可與步驟3b同時實施,亦可在步驟3b之前便實施,亦可在步驟3b之後才實施。
依此,在形成氮化膜的步驟中,藉由更進一步設計在氮化膜中添加氧的步驟,而形成經添加氧的氮化膜。
再者,例如上述實施形態,針對具有SiO/SiN/SiO積層構造的積層膜形成例進行說明,惟本發明並不僅侷限於此情況。例如本發明亦頗適用於形成具有SiON/SiN/SiO積層構造的積層膜之情況。其他,本發明就諸如:形成具有SiO/SiON/SiO積層構造的積層膜、形成具有SiO/SiN/SiON積層構造的積層膜、形成具有SiON/SiN/SiON積層構造積層膜等,含有氧化膜(SiO膜)、氮化膜(SiN膜)及氮氧化膜(SiON膜)中至少任一積層構造的積層膜之情況,亦均頗為適用。
另外,SiON膜係藉由施行與在氧化膜中添加氮(N)的情況、或在氮化膜中添加氧(O)的情況為同樣步驟,便可形成。
再者,上述實施形態,針對薄膜係形成含有屬於半導體元素之矽的矽系絕緣膜積層膜為例進行說明,惟本發明並不僅侷限於該情況。例如本發明亦頗適用於形成含有例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)等金屬元素的金屬系薄膜積層膜之情況。
例如本發明亦頗適用於諸如:形成具有屬於鈦系薄膜的鈦氧化膜(TiO膜)與鈦氮化膜(TiN膜)之積層構造積層膜的情況、形成具有屬於鋯系薄膜的鋯氧化膜(ZrO膜)與氮化鋯膜(ZrN膜)之積層構造積層膜的情況、形成具有屬於鉿系薄膜的鉿氧化膜(HfO膜)與鉿氮化膜(HfN膜)之積層構造積層膜的情況、形成具有屬於鉭系薄膜的鉭氧化膜(TaO膜)與鉭氮化膜(TaN膜)之積層構造積層膜的情況、形成具有屬於鋁系薄膜的鋁氧化膜(AlO膜)與鋁氮化膜(AlN膜)之積層構造積層膜的情況、形成具有屬於鉬系薄膜的鉬氧化膜(MoO膜)與鉬氮化膜(MoN膜)之積層構造積層膜的情況。
此情況,原料氣體係使用含金屬元素的原料,並依照與上述實施形態同樣的成膜系列便可進行成膜。另外,含氮氣體、含氧氣體及含氫氣體係可使用與上述實施形態同樣的氣體。處理條件亦可使用與上述實施形態同樣的處理條件。
例如形成鈦系薄膜的情況,原料便可使用諸如:四氯化鈦(TiCl4)、四(乙基甲基胺基)鈦(Ti[N(C2H5)(CH3)]4、簡稱:TEMAT)、四(二甲基胺基)鈦(Ti[N(CH3)2]4、簡稱:TDMAT)、四(二乙基胺基)鈦(Ti[N(C2H5)2]4、簡稱:TDEAT)等。
再者,例如形成鋯系薄膜的情況,原料係可使用諸如:四氯化鋯(ZrCl4)、四(乙基甲基胺基)鋯(Zr[N(C2H5)(CH3)]4、簡稱:TEMAZ)、四(二甲基胺基)鋯(Zr[N(CH3)2]4、簡稱:TDMAZ)、四(二乙基胺基)鋯(Zr[N(C2H5)2]4、簡稱:TDEAZ)等。
再者,例如形成鉿系薄膜的情況,原料係可使用諸如:四氯化鉿(HfCl4)、四(乙基甲基胺基)鉿(Hf[N(C2H5)(CH3)]4、簡稱:TEMAH)、四(二甲基胺基)鉿(Hf[N(CH3)2]4、簡稱:TDMAH)、四(二乙基胺基)鉿(Hf[N(C2H5)2]4、簡稱:TDEAH)等。
依此,本發明不僅適用於矽系薄膜積層膜的成膜,亦可適用於金屬系薄膜積層膜的成膜,此情況亦可獲得與上述實施形態同樣的作用效果。即,本發明能適用於形成含有半導體元素或金屬元素等既定元素之薄膜的情況。
再者,上述實施形態,針對採用一次便處理複數片基板的批次式基板處理裝置,施行薄膜成膜的例子進行說明,惟本發明並不僅侷限於此,亦頗適用於採用一次處理1片或數片基板的單片式基板處理裝置施行薄膜成膜之情況。
再者,上述各實施形態、各變化例、各應用例等均可適當組合搭配使用。
再者,本發明係即便變更例如現有基板處理裝置的製程配方仍可實現。變更製程配方的情況,可將本發明的製程配方經由電氣通訊線路、或記錄有該製程配方的記錄媒體,安裝於現有的基板處理裝置中,或者操作現有基板處理裝置的輸出入裝置,將其製程配方自體更改為本發明的製程配方。 [實施例]
(實施例1)
本實施例,使用與上述第1實施形態的同樣手法(即,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間,並沒有施行NH3氣體先行供給步驟或HCDS-SiN層形成步驟),在第1矽氧化膜上連續形成矽氮化膜。又,使用與上述第2實施形態的同樣手法(即,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間,施行NH3氣體先行供給步驟),在第1矽氧化膜上形成矽氮化膜。然後,測定各情況的矽氮化膜之成膜延遲時間(潛伏期)。
圖18所示係實施例1的矽氮化膜之成膜延遲時間評價結果示意圖。圖18中,橫軸係表示矽氮化膜形成步驟中循環(步驟1b~4b)的實施次數,縱軸係表示矽氮化膜的膜厚(任意單位(a.u.))。圖中的虛線係表示連續施行第1矽氧化膜形成步驟與矽氮化膜形成步驟的情況,實線係表示在其間有施行NH3氣體先行供給步驟的情況。
根據圖18,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間有施行NH3氣體先行供給步驟的情況(實線),相較於沒有施行NH3氣體先行供給步驟的情況(虛線)下,矽氮化膜的成膜較早開始,得知可縮短成膜延遲時間(潛伏期)。即,得知在第1矽氧化膜表面上所形成的矽氮化層,具有作為促進矽氮化膜形成的初始層(種子層)作用,能使矽氮化膜的成膜更迅速開始。即,得知可更加提升形成ONO積層構造絕緣膜時的生產性。
(實施例2)
本實施例,使用與上述第1實施形態的同樣手法(即,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間,並沒有施行NH3氣體先行供給步驟或HCDS-SiN層形成步驟),在第1矽氧化膜上連續形成矽氮化膜。又,使用與上述第3實施形態的同樣手法(即,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間,施行HCDS-SiN層形成步驟),在第1矽氧化膜上形成矽氮化膜。然後,測定各情況的矽氮化膜之成膜延遲時間(潛伏期)。
圖19所示係實施例2的矽氮化膜之成膜延遲時間評價結果示意圖。圖19中,橫軸係表示矽氮化膜形成步驟中循環(步驟1b~4b)的實施次數,縱軸係表示矽氮化膜的膜厚(任意單位(a.u.))。圖中的虛線係表示連續施行第1矽氧化膜形成步驟與矽氮化膜形成步驟的情況,實線係表示在其間有施行HCDS-SiN層形成步驟的情況。
根據圖19,在第1矽氧化膜形成步驟與矽氮化膜形成步驟之間有施行HCDS-SiN層形成步驟的情況(實線),相較於沒有施行HCDS-SiN層形成步驟的情況(虛線)下,矽氮化膜的成膜較早開始,得知可縮短成膜延遲時間(潛伏期)。即,得知依覆蓋第1矽氧化膜表面的方式形成之HCDS-SiN層,具有作為促進矽氮化膜形成的初始層(種子層)作用,能使矽氮化膜的成膜更迅速開始。即,得知可更加提升形成ONO積層構造絕緣膜時的生產性。 <本發明較佳態樣>
以下,附記本發明的較佳態樣。
(附記1)
根據本發明一態樣所提供的半導體裝置之製造方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、以及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板,供給氮化氣體,藉此在上述氧化膜表面上形成種子層的步驟;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、以及供給上述氮化氣體的步驟之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的步驟。
(附記2)
如附記1的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,將上述處理容器內的壓力設為較上述形成氮化膜步驟中,上述處理容器內的壓力更大之壓力。
(附記3)
如附記1的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,將上述處理容器內的壓力,設定為較上述形成氮化膜步驟之上述供給第2原料氣體步驟中,上述處理容器內的壓力更大之壓力。
(附記4)
如附記1的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,將上述處理容器內的壓力,設定為較上述形成氮化膜步驟之上述供給氮化氣體步驟中,上述處理容器內的壓力更大之壓力。
(附記5)
如附記1至4中任一項的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,將上述基板的溫度設為上述第2溫度。
(附記6)
如附記1至5中任一項的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,對上述氧化膜的表面施行氮化。
(附記7)
如附記1至6中任一項的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,對上述氧化膜的表面施行熱氮化(thermal nitridation)。
(附記8)
如附記1至7中任一項的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,在上述氧化膜的表面上形成作為上述種子層用的氮化層。
(附記9)
如附記1至8中任一項的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,在上述氧化膜的表面上形成當作上述種子層用之具有Si-N鍵結的層。
(附記10)
如附記1至9中任一項的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,對上述處理容器內被加熱至上述第1溫度以上且上述第2溫度以下之溫度的上述基板,既定次數執行包括有上述供給第1原料氣體的步驟、與上述供給氮化氣體的步驟之循環,藉此在上述氧化膜的表面上形成上述種子層。
(附記11)
如附記10的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、與上述供給氮化氣體的步驟。
(附記12)
如附記10或11的半導體裝置之製造方法,較佳,上述形成種子層的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、與上述供給氮化氣體的步驟,藉此在上述氧化膜上形成作為上述種子層用的氮化層。
(附記13)
如附記1至12中任一項的半導體裝置之製造方法,較佳,上述第1原料氣體與上述第2原料氣體分別係由不同物質構成;上述第2原料氣體的反應性較低於上述第1原料氣體。
(附記14)
如附記1至12中任一項的半導體裝置之製造方法,較佳,上述第1原料氣體與上述第2原料氣體分別係由不同物質構成;上述第2原料氣體的反應性較低於上述第1原料氣體。
(附記15)
如附記1至12中任一項的半導體裝置之製造方法,較佳,上述第1原料氣體與上述第2原料氣體分別係由含矽的不同物質構成;上述第2原料氣體的反應性較低於上述第1原料氣體。
(附記16)
如附記1至12中任一項的半導體裝置之製造方法,較佳,上述第1原料氣體與上述第2原料氣體分別係由相同物質構成。
(附記17)
如附記1至12中任一項的半導體裝置之製造方法,較佳,上述第1原料氣體與上述第2原料氣體分別係由含同一元素的相同物質構成。
(附記18)
如附記1至12中任一項的半導體裝置之製造方法,較佳,上述第1原料氣體與上述第2原料氣體分別係由含矽的相同物質構成。
(附記19)
如附記1至18中任一項的半導體裝置之製造方法,較佳,上述形成氧化膜的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟。
(附記20)
如附記1至19中任一項的半導體裝置之製造方法,較佳,上述形成氧化膜的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟之後,再施行供給上述氧化氣體與上述還原氣體的步驟。
(附記21)
如附記1至20中任一項的半導體裝置之製造方法,較佳,上述形成氮化膜的步驟中,對上述基板交錯施行上述供給第2原料氣體的步驟、與上述供給氮化氣體的步驟。
(附記22)
如附記1至21中任一項的半導體裝置之製造方法,較佳,上述形成氮化膜的步驟中,對上述基板同時施行上述供給第2原料氣體的步驟、與上述供給氮化氣體的步驟。
(附記23)
如附記1至22中任一項的半導體裝置之製造方法,較佳,上述形成氧化膜的步驟係更進一步包括有在上述氧化膜中添加氮的步驟。
(附記24)
如附記1至23中任一項的半導體裝置之製造方法,較佳,上述形成氮化膜的步驟係更進一步包括有在上述氮化膜中添加氧的步驟。
(附記25)
如附記1至24中任一項的半導體裝置之製造方法,較佳,更進一步包括有對在未滿大氣壓的壓力下之上述處理容器內被加熱至上述第1溫度的上述基板,既定次數執行包括有上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟之循環,藉此在上述氮化膜上形成氧化膜的步驟。
(附記26)
根據本發明另一態樣所提供的半導體裝置之製造方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成第1氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述第1氧化膜表面上形成種子層的步驟;對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、與上述供給氮化氣體的步驟之循環,藉此在上述第1氧化膜表面上所形成的上述種子層上,形成氮化膜的步驟;以及對在未滿大氣壓之壓力下的上述處理容器內被加熱至上述第1溫度的上述基板,既定次數執行包括有上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟之循環,藉此在上述氮化膜上形成第2氧化膜的步驟。
(附記27)
如附記25或26的半導體裝置之製造方法,較佳,上述形成氮化膜的步驟中,形成較最終所必要膜厚更厚膜厚的上述氮化膜。
(附記28)
根據本發明再另一態樣所提供的基板處理方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟,以及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述氧化膜表面上形成種子層的步驟;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、以及供給上述氮化氣體的步驟之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的步驟。
(附記29)
根據本發明再另一態樣所提供的基板處理裝置,係具備有:處理容器,用以收容基板;加熱器,係對上述處理容器內的基板施行加熱;原料氣體供給系統,係對上述處理容器內的基板,供給第1原料氣體與第2原料氣體;氧化氣體供給系統,係對上述處理容器內的基板供給氧化氣體;還原氣體供給系統,係對上述處理容器內的基板供給還原氣體;氮化氣體供給系統,係對上述處理容器內的基板供給氮化氣體;壓力調整部,用以調整上述處理容器內的壓力;以及控制部,係執行以下處理:對在未滿大氣壓之壓力下的上述處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給上述第1原料氣體的處理、及供給上述氧化氣體與上述還原氣體的處理之循環,藉此在上述基板上形成氧化膜的處理;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給上述氮化氣體,藉此在上述氧化膜表面上形成種子層的處理;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給上述第2原料氣體的處理、及供給上述氮化氣體的處理之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的處理;而對上述加熱器、上述原料氣體供給系統、上述氧化氣體供給系統、上述還原氣體供給系統、上述氮化氣體供給系統及上述壓力調整部進行控制。
(附記30)
根據本發明再另一態樣所提供的程式,係使電腦執行下述程序:藉由對基板處理裝置中未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的程序、以及供給氧化氣體與還原氣體的程序之循環,而在上述基板上形成氧化膜的程序;藉由對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板,供給氮化氣體,而在上述氧化膜表面上形成種子層的程序;以及藉由對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的程序、及供給上述氮化氣體的程序之循環,而在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的程序。
(附記31)
根據本發明再另一態樣所提供的電腦可讀取之記錄媒體,係記錄有使電腦執行下述程序之程式記錄媒體,該等程序包括有:對基板處理裝置中未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的程序、以及供給氧化氣體與還原氣體的程序之循環,藉此在上述基板上形成氧化膜的程序;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述氧化膜表面上形成種子層的程序;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的程序、及供給上述氮化氣體的程序之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的程序。
115‧‧‧晶舟升降機
121‧‧‧控制器(控制部)
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸出入裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
217‧‧‧晶舟
218‧‧‧斷熱構件
219‧‧‧密封蓋
220‧‧‧O形環
224‧‧‧電漿生成區域
231‧‧‧排氣管
232a‧‧‧第1氣體供給管
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232d‧‧‧第4氣體供給管
232e‧‧‧第5氣體供給管
232f‧‧‧第1惰性氣體供給管
232g‧‧‧第2惰性氣體供給管
232h‧‧‧第3惰性氣體供給管
232i‧‧‧第4惰性氣體供給管
232j‧‧‧第5惰性氣體供給管
233a‧‧‧第1噴嘴
233b‧‧‧第2噴嘴
233c‧‧‧第3噴嘴
237‧‧‧緩衝室
241a~241j‧‧‧質量流量控制器(MFC)
243a~243j‧‧‧閥
244‧‧‧APC閥(壓力調整部)
245‧‧‧壓力感測器
246‧‧‧真空泵
248a~248d‧‧‧氣體供給孔
255‧‧‧旋轉軸
263‧‧‧溫度感測器
267‧‧‧旋轉機構
269‧‧‧第1棒狀電極
270‧‧‧第2棒狀電極
272‧‧‧整合器
273‧‧‧高頻電源
275‧‧‧電極保護管
圖1係本發明實施形態較佳適用基板處理裝置的直立式處理爐之概略構造圖,處理爐部分的縱剖視圖。
圖2係本發明實施形態較佳適用基板處理裝置的直立式處理爐之概略構造圖,處理爐部分之圖1的A-A線剖視圖。
圖3係本發明實施形態較佳適用基板處理裝置的控制器概略構造圖。
圖4係本發明第1實施形態的成膜流程圖。
圖5係本發明第1實施形態的成膜流程變化例1之圖。
圖6係本發明第1實施形態的成膜流程變化例2之圖。
圖7係本發明第1實施形態的成膜流程變化例3之圖。
圖8係本發明第1實施形態的成膜流程變化例4之圖。
圖9係本發明第2實施形態的成膜流程圖。
圖10係本發明第3實施形態的成膜流程圖。
圖11係本發明第1實施形態的氣體供給時序圖。
圖12係本發明第1實施形態的氣體供給時序變化例1之圖。
圖13係本發明第1實施形態的氣體供給時序變化例2之圖。
圖14係本發明第1實施形態的氣體供給時序變化例3之圖。
圖15係本發明第1實施形態的氣體供給時序變化例4之圖。
圖16係本發明第2實施形態的氣體供給時序圖。
圖17係本發明第3實施形態的氣體供給時序圖。
圖18係實施例1的矽氮化膜之成膜延遲時間評價結果圖。
圖19係實施例2的矽氮化膜之成膜延遲時間評價結果圖。
权利要求:
Claims (18)
[1] 一種半導體裝置之製造方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、以及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板供給氮化氣體,藉此在上述氧化膜表面上形成種子層的步驟;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、以及供給上述氮化氣體的步驟之循環,藉此在上述氧化膜表面上所形成之上述種子層上形成氮化膜的步驟。
[2] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,將上述處理容器內的壓力設定為相較於上述形成氮化膜步驟中上述處理容器內的壓力更大之壓力。
[3] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,將上述處理容器內的壓力,設定為相較於上述形成氮化膜步驟之上述供給第2原料氣體步驟中,上述處理容器內的壓力更大之壓力。
[4] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,將上述處理容器內的壓力,設定為相較於上述形成氮化膜步驟之上述供給氮化氣體步驟中,上述處理容器內的壓力更大之壓力。
[5] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,將上述基板的溫度設為上述第2溫度。
[6] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,對上述氧化膜的表面施行氮化。
[7] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,對上述處理容器內被加熱至上述第1溫度以上且上述第2溫度以下之溫度的上述基板,既定次數執行包括有上述供給第1原料氣體的步驟、與上述供給氮化氣體的步驟之循環,藉此在上述氧化膜的表面上形成上述種子層。
[8] 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述形成種子層的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、與上述供給氮化氣體的步驟。
[9] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述第1原料氣體與上述第2原料氣體分別係由不同物質構成;上述第2原料氣體的反應性較低於上述第1原料氣體。
[10] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氧化膜的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟。
[11] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氧化膜的步驟中,對上述基板交錯施行上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟之後,再施行供給上述氧化氣體與上述還原氣體的步驟。
[12] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氮化膜的步驟中,對上述基板交錯施行上述供給第2原料氣體的步驟、與上述供給氮化氣體的步驟。
[13] 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述形成氮化膜的步驟中,對上述基板同時施行上述供給第2原料氣體的步驟、與上述供給氮化氣體的步驟。
[14] 如申請專利範圍第1項之半導體裝置之製造方法,其中,更進一步包括有對在未滿大氣壓的壓力下之上述處理容器內被加熱至上述第1溫度的上述基板,既定次數執行包括有上述供給第1原料氣體的步驟、及供給上述氧化氣體與上述還原氣體的步驟之循環,藉此在上述氮化膜上形成氧化膜的步驟。
[15] 如申請專利範圍第14項之半導體裝置之製造方法,其中,上述形成氮化膜的步驟中,形成較最終所必要膜厚更厚膜厚的上述氮化膜。
[16] 一種基板處理方法,係包括有:對在未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的步驟、以及供給氧化氣體與還原氣體的步驟之循環,藉此在上述基板上形成氧化膜的步驟;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板,供給氮化氣體,藉此在上述氧化膜表面上形成種子層的步驟;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的步驟、以及供給上述氮化氣體的步驟之循環,藉此在上述氧化膜表面上所形成之上述種子層上形成氮化膜的步驟。
[17] 一種基板處理裝置,係具備有:處理容器,用以收容基板;加熱器,係對上述處理容器內的基板施行加熱;原料氣體供給系統,係對上述處理容器內的基板供給第1原料氣體與第2原料氣體;氧化氣體供給系統,係對上述處理容器內的基板供給氧化氣體;還原氣體供給系統,係對上述處理容器內的基板供給還原氣體;氮化氣體供給系統,係對上述處理容器內的基板供給氮化氣體;壓力調整部,用以調整上述處理容器內的壓力;以及控制部,係執行以下處理:對在未滿大氣壓之壓力下的上述處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給上述第1原料氣體的處理、及供給上述氧化氣體與上述還原氣體的處理之循環,藉此在上述基板上形成氧化膜的處理;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板,供給上述氮化氣體,藉此在上述氧化膜表面上形成種子層的處理;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給上述第2原料氣體的處理、及供給上述氮化氣體的處理之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的處理;而對上述加熱器、上述原料氣體供給系統、上述氧化氣體供給系統、上述還原氣體供給系統、上述氮化氣體供給系統及上述壓力調整部進行控制。
[18] 一種電腦可讀取之記錄媒體,係記錄有使電腦執行下述程序之程式者,該等程序包括有:對基板處理裝置中未滿大氣壓之壓力下的處理容器內被加熱至第1溫度的基板,既定次數執行包括有供給第1原料氣體的程序、以及供給氧化氣體與還原氣體的程序之循環,藉此在上述基板上形成氧化膜的程序;對在上述處理容器內被加熱至上述第1溫度以上且第2溫度以下之溫度的上述基板,供給氮化氣體,藉此在上述氧化膜表面上形成種子層的程序;以及對在上述處理容器內被加熱至上述第2溫度的上述基板,既定次數執行包括有供給第2原料氣體的程序、及供給上述氮化氣體的程序之循環,藉此在上述氧化膜表面上所形成的上述種子層上,形成氮化膜的程序。
类似技术:
公开号 | 公开日 | 专利标题
TWI508174B|2015-11-11|半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
US9837262B2|2017-12-05|Method of manufacturing a SiOCN film, substrate processing apparatus and recording medium
TWI536457B|2016-06-01|半導體裝置之製造方法、基板處理裝置及記錄媒體
JP5775947B2|2015-09-09|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5562434B2|2014-07-30|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI547996B|2016-09-01|A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
TWI585857B|2017-06-01|半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
JP6030378B2|2016-11-24|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9218955B2|2015-12-22|Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP5686487B2|2015-03-18|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5797790B2|2015-10-21|半導体装置の製造方法、基板処理方法および基板処理装置
同族专利:
公开号 | 公开日
KR101396253B1|2014-05-16|
US9190264B2|2015-11-17|
JP2013084911A|2013-05-09|
KR20130035874A|2013-04-09|
CN103035485A|2013-04-10|
US20130084712A1|2013-04-04|
TWI508174B|2015-11-11|
CN103035485B|2016-05-11|
JP6042656B2|2016-12-14|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
US6972436B2|1998-08-28|2005-12-06|Cree, Inc.|High voltage, high temperature capacitor and interconnection structures|
JP3667535B2|1998-09-17|2005-07-06|東京エレクトロン株式会社|成膜方法|
KR100682190B1|1999-09-07|2007-02-12|동경 엘렉트론 주식회사|실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치|
KR100340716B1|1999-10-29|2002-06-20|윤종용|실리콘 질화막 형성방법|
US6465373B1|2000-08-31|2002-10-15|Micron Technology, Inc.|Ultra thin TCS cell nitride for DRAM capacitor with DCS interface seeding layer|
KR100753667B1|2001-12-29|2007-08-31|매그나칩 반도체 유한회사|반도체 제조 공정에서의 질소 플라즈마 소스를 이용한실리콘 질화막 증착 방법|
US6884464B2|2002-11-04|2005-04-26|Applied Materials, Inc.|Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber|
KR20040079172A|2003-03-06|2004-09-14|주식회사 하이닉스반도체|반도체 소자의 유전체막 형성 방법|
US20050037578A1|2003-08-14|2005-02-17|Wei Wen Chen|[method for forming an oxide/ nitride/oxide stacked layer]|
JP4694209B2|2005-01-05|2011-06-08|株式会社日立国際電気|基板処理装置及び半導体装置の製造方法|
US7910497B2|2007-07-30|2011-03-22|Applied Materials, Inc.|Method of forming dielectric layers on a substrate and apparatus therefor|
JP5202372B2|2008-03-14|2013-06-05|東京エレクトロン株式会社|成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置|
JP4661990B2|2008-06-27|2011-03-30|東京エレクトロン株式会社|成膜装置、成膜方法、基板処理装置及び記憶媒体|
JP5155070B2|2008-09-02|2013-02-27|株式会社日立国際電気|半導体装置の製造方法、基板処理方法及び基板処理装置|
JP5665289B2|2008-10-29|2015-02-04|株式会社日立国際電気|半導体装置の製造方法、基板処理方法および基板処理装置|
JP2010183069A|2009-01-07|2010-08-19|Hitachi Kokusai Electric Inc|半導体装置の製造方法及び基板処理装置|
JP2010278260A|2009-05-28|2010-12-09|Hitachi Kokusai Electric Inc|半導体装置の製造方法|
JP5467007B2|2009-09-30|2014-04-09|株式会社日立国際電気|半導体装置の製造方法および基板処理装置|
JP5813303B2|2009-11-20|2015-11-17|株式会社日立国際電気|半導体装置の製造方法、基板処理方法および基板処理装置|JP5665289B2|2008-10-29|2015-02-04|株式会社日立国際電気|半導体装置の製造方法、基板処理方法および基板処理装置|
US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD|
US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof|
US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species|
CN101949467B|2010-08-28|2012-09-26|朱爱萍|大流量喷水织布机单向阀|
US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules|
US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers|
US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same|
US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing|
US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface|
US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling|
US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor|
US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead|
JP2014082322A|2012-10-16|2014-05-08|Tokyo Electron Ltd|シリコン窒化物膜の成膜方法および成膜装置|
US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system|
US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species|
JP6476369B2|2013-03-25|2019-03-06|株式会社Kokusai Electric|クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム|
US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber|
JP6124724B2|2013-07-25|2017-05-10|株式会社日立国際電気|クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム|
US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process|
US9605343B2|2013-11-13|2017-03-28|Asm Ip Holding B.V.|Method for forming conformal carbon films, structures conformal carbon film, and system of forming same|
US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same|
US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same|
US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof|
JP6347544B2|2014-07-09|2018-06-27|株式会社日立国際電気|半導体装置の製造方法、基板処理装置およびプログラム|
US9837271B2|2014-07-18|2017-12-05|Asm Ip Holding B.V.|Process for forming silicon-filled openings with a reduced occurrence of voids|
JP5886381B2|2014-07-23|2016-03-16|株式会社日立国際電気|半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体|
US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof|
US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds|
US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same|
US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method|
KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법|
US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method|
US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same|
US20160268299A1|2015-03-13|2016-09-15|Kabushiki Kaisha Toshiba|Semiconductor device and method for manufacturing the same|
US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same|
US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal|
US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function|
JP6523091B2|2015-07-24|2019-05-29|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置およびプログラム|
US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design|
US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films|
US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings|
US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers|
US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber|
JP6545093B2|2015-12-14|2019-07-17|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置およびプログラム|
US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching|
US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices|
US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning|
US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system|
US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes|
US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings|
JP2017183392A|2016-03-29|2017-10-05|株式会社日立国際電気|基板処理装置、半導体装置の製造方法および記録媒体|
US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides|
US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides|
US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping|
US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film|
KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법|
KR102326377B1|2016-06-07|2021-11-15|가부시키가이샤 코쿠사이 엘렉트릭|기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램|
US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering|
US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition|
US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps|
US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten|
KR102354490B1|2016-07-27|2022-01-21|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|기판 가공 장치 및 그 동작 방법|
US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
KR20180023298A|2016-08-25|2018-03-07|에이에스엠 아이피 홀딩 비.브이.|배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법|
US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems|
US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers|
US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap|
US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures|
US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method|
KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|기체 공급 유닛 및 이를 포함하는 기판 처리 장치|
US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride|
KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD|
US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures|
US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern|
US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures|
US10460932B2|2017-03-31|2019-10-29|Asm Ip Holding B.V.|Semiconductor device with amorphous silicon filled gaps and methods for forming|
KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures|
US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures|
US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures|
US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma|
US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures|
JP6919350B2|2017-06-09|2021-08-18|東京エレクトロン株式会社|基板処理方法及び基板処理装置|
US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures|
KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물|
US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures|
US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures|
US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias|
US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same|
US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace|
JP6902958B2|2017-08-02|2021-07-14|東京エレクトロン株式会社|シリコン膜の形成方法および形成装置|
US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield|
US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same|
US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith|
US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly|
WO2019035223A1|2017-08-14|2019-02-21|株式会社Kokusai Electric|プラズマ生成装置、基板処理装置および半導体装置の製造方法|
KR102192602B1|2017-08-14|2020-12-17|가부시키가이샤 코쿠사이 엘렉트릭|기판 처리 장치, 반도체 장치의 제조 방법, 플라스마 생성부, 프로그램, 플라스마 생성 방법, 전극 및 반응관|
USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter|
KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method|
JP6929173B2|2017-09-13|2021-09-01|東京エレクトロン株式会社|シリコン酸化膜を形成する方法および装置|
US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal|
KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치|
US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods|
US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber|
US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate|
US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition|
US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures|
KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치|
US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure|
US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer|
CN111316417A|2017-11-27|2020-06-19|阿斯莫Ip控股公司|与批式炉偕同使用的用于储存晶圆匣的储存装置|
US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning|
US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures|
USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp|
US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin|
US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures|
USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus|
US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film|
US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process|
US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus|
US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication|
US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment|
US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same|
KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조|
US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method|
US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber|
US11230766B2|2018-03-29|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|
KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
KR20190129718A|2018-05-11|2019-11-20|에이에스엠 아이피 홀딩 비.브이.|기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조|
US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures|
US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same|
KR20200002519A|2018-06-29|2020-01-08|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components|
US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film|
US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same|
US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein|
US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus|
US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith|
US11232963B2|2018-10-03|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD|
US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature|
KR20200045067A|2018-10-19|2020-05-04|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 및 기판 처리 방법|
US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film|
US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates|
US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film|
US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures|
US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process|
US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film|
US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film|
US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures|
KR20200091543A|2019-01-22|2020-07-31|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
CN111524788A|2019-02-01|2020-08-11|Asm Ip私人控股有限公司|氧化硅的拓扑选择性膜形成的方法|
JP2020136678A|2019-02-20|2020-08-31|エーエスエム・アイピー・ホールディング・ベー・フェー|基材表面内に形成された凹部を充填するための方法および装置|
KR20200102352A|2019-02-20|2020-08-31|에이에스엠 아이피 홀딩 비.브이.|처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치|
KR20200108243A|2019-03-08|2020-09-17|에이에스엠 아이피 홀딩 비.브이.|SiOC 층을 포함한 구조체 및 이의 형성 방법|
USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate|
USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit|
USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport|
US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly|
USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode|
USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor|
US20220052248A1|2020-02-21|2022-02-17|Applied Materials, Inc.|High critical temperature metal nitride layer with oxide or oxynitride seed layer|
法律状态:
优先权:
申请号 | 申请日 | 专利标题
JP2011218019||2011-09-30||
JP2012176570A|JP6042656B2|2011-09-30|2012-08-09|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム|
[返回顶部]